[CGSG] SVN Commit r430 - in projects: . FPGAMatrix FPGAMatrix/arduino FPGAMatrix/doc FPGAMatrix/schematics FPGAMatrix/verilog

kintel at metalab.at kintel at metalab.at
Sat Apr 26 01:59:57 CEST 2008


Author: kintel
Date: 2008-04-26 01:59:57 +0200 (Sat, 26 Apr 2008)
New Revision: 430

Added:
   projects/FPGAMatrix/
   projects/FPGAMatrix/arduino/
   projects/FPGAMatrix/arduino/spiTester/
   projects/FPGAMatrix/doc/
   projects/FPGAMatrix/doc/pinout.txt
   projects/FPGAMatrix/python/
   projects/FPGAMatrix/schematics/
   projects/FPGAMatrix/schematics/DiffBoard/
   projects/FPGAMatrix/verilog/
   projects/FPGAMatrix/verilog/LedMatrixCtrl_import.tcl
   projects/FPGAMatrix/verilog/framebuffer.pl
   projects/FPGAMatrix/verilog/framebuffer.v
   projects/FPGAMatrix/verilog/s3astarter.ucf
   projects/FPGAMatrix/verilog/shiftinterface.v
   projects/FPGAMatrix/verilog/top.v
   projects/FPGAMatrix/verilog/top_guide.ncd
Log:
Reorganized matrix repository

Copied: projects/FPGAMatrix/arduino/spiTester (from rev 429, user/wizard23/matrix2.0/arduino/spiTester)

Copied: projects/FPGAMatrix/doc/pinout.txt (from rev 426, user/wizard23/matrix2.0/pinout.txt)
===================================================================
--- projects/FPGAMatrix/doc/pinout.txt	                        (rev 0)
+++ projects/FPGAMatrix/doc/pinout.txt	2008-04-25 23:59:57 UTC (rev 430)
@@ -0,0 +1,30 @@
+verbindung mit diffdriver
+
+weiss (-) ist immer in der mitte des chips
+
+am rainbowkabel ist weiss immer beim nuppel 
+
+
+-----------------------------------
+
+Connector for global pins
+from left to right
+
+VCC 3.3V
+GND
+AB19 STROBE_DATA (ROWS) (diff: blue)   (rainbow: red brown)
+AA19 LATCH              (diff: orange) (rainbow: middle)
+AB21 CLOCK              (diff: green)  (rainbow: black white)
+AA21 NC
+
+------------------------------------
+
+Connector for  SEGDATA
+from left to right
+
+VCC 3.3V NC
+GND NC
+V16 SEG_DATA<0> COLS1  (diff: brown)
+W16 segdata 1
+V15 segdata 2
+V14 segdata 3

Copied: projects/FPGAMatrix/schematics/DiffBoard (from rev 426, user/wizard23/matrix2.0/kicad/DiffBoard)

Copied: projects/FPGAMatrix/verilog/LedMatrixCtrl_import.tcl (from rev 381, user/kintel/FPGAMatrix/fpga/LedMatrixCtrl_import.tcl)
===================================================================
--- projects/FPGAMatrix/verilog/LedMatrixCtrl_import.tcl	                        (rev 0)
+++ projects/FPGAMatrix/verilog/LedMatrixCtrl_import.tcl	2008-04-25 23:59:57 UTC (rev 430)
@@ -0,0 +1,1239 @@
+# ProjectNavigator SourceControl recreation script
+#
+# This script is text version of significant (but possibly not all)
+# the information contained in the ISE project file.  It is generated
+# and used by the ProjectNavigator application's source control
+# import feature.
+#
+# When using this script from the command line to recreate the ISE
+# project, it should first be sourced from within an xtclsh shell.
+# Next, the import procedure should be called to perform the import.
+# When calling the import procedure, pass the new project directory
+# and the source directory.  If neither are specified, the current
+# working directory is assumed for both.
+#
+# Internally this script has two file lists. One variable (import_files)
+# has the set of files to copy into the project directory.  The other
+# variable (user_files) has the set of files to add into the project.
+#
+#
+# This script is not intended for direct customer editing.
+#
+# Copyright 2006, Xilinx, Inc.
+#
+
+
+#  Helper to copy files from the source staging area
+#  back into the destination work area.
+#  This proc will be call for each file copied.
+#  While not supported, one could do interesting things with this
+#  proc, since each file hits it.
+proc CopyIn { srcfile work_area copy_option } {
+   set staging_area [pwd]
+   if { [ expr { [ file pathtype $srcfile ] == "absolute"   || \
+                 [string index $srcfile 0 ] == "/"        || \
+                 [string index $srcfile 1 ] == ":"        } ] } {
+       set workfile $srcfile
+   } else {
+       set workfile [ file join $work_area $srcfile ]
+   }
+   if { $copy_option == "flatten" } {
+       set stagefile [ file join $staging_area [ file tail $srcfile ] ]
+   } elseif { [ file pathtype $srcfile ] != "relative" } {
+       set srcfile [ string map {: _} $srcfile ]
+       set stagefile [ file join $staging_area absolute $srcfile ]
+   } elseif { [ expr { $copy_option == "absremote" } && { [string equal -length 2 $srcfile ".." ] } ] } {
+       set stagefile [ file join $staging_area outside_relative [ string map {.. up} $srcfile ] ]
+   } else {
+       set srcfile [ string map {: _} $srcfile ]
+       set stagefile [ file join $staging_area $srcfile ]
+   }
+
+   set stagefile [ file normalize $stagefile ]
+   set workfile [ file normalize $workfile ]
+
+   if { [ file exists $stagefile ] } {
+      if { $stagefile != $workfile } {
+         file mkdir [ file dirname $workfile ]
+         file copy -force $stagefile $workfile
+      }
+   } else { WARN "\"$stagefile\" does not exist for import copy." }
+}
+
+proc ERR { msg } {
+   puts "ERROR: $msg"
+}
+
+proc WARN { msg } {
+   puts "WARNING: $msg"
+}
+
+proc INFO { msg } {
+   puts "$msg"
+}
+
+# Helper that returns 1 if the string is blank, otherwise 0.
+proc IsBlank { str } {
+   if { [string length $str] == 0 } {
+      return 1
+   }
+   return 0
+}
+
+# Helper for determining whether a value is 'NULL'.
+# Returns 1 if the value is 0; returns 0 if the value is anything else.
+proc IsNull { val } {
+   if { $val == 0 } {
+      return 1
+   }
+   return 0
+}
+
+proc HandleException { script { msg "" } } {
+   set catch_result [catch {
+      uplevel 1 $script
+   } RESULT]
+   if {$catch_result} {
+      if {![IsBlank $msg]} {
+         ERR $msg
+      }
+      INFO "$RESULT"
+      INFO "$::errorInfo"
+   }
+}
+
+# These two procs help to load shared libraries in a platform
+# independent way.
+proc _LoadLibrary {name} {
+   set libExt [info sharedlibextension]
+   set libFullName "$name$libExt"
+   HandleException {
+      load $libFullName
+   } "A problem occured loading library $libFullName."
+}
+
+proc _LoadFactoryLibrary {Factory} {
+   HandleException {
+      Xilinx::Cit::FactoryLoad $Factory
+   } "A problem occured loading library $Factory."
+}
+
+_LoadLibrary libCit_CoreStub
+_LoadLibrary libPrjrep_CommonStub
+_LoadFactoryLibrary libPrjrep_Common
+_LoadLibrary libDpm_SupportStub
+_LoadLibrary libDpm_PnfStub
+_LoadLibrary libDpm_DefnDataStub
+_LoadLibrary libDpm_DesignDataStub
+_LoadLibrary libDpm_HdlStub
+_LoadLibrary libPrjrep_RepositoryStub
+_LoadLibrary libCitI_CoreStub
+_LoadLibrary libHdcI_HdcHDProjectStub
+_LoadLibrary libTcltaskI_TaskStub
+_LoadLibrary libCommonI_CommonStub
+_LoadFactoryLibrary libTcltask_Helpers
+_LoadFactoryLibrary libHdcC_HDProject
+_LoadLibrary libHdcI_HdcContainerStub
+
+#  Helper to exectute code only when the (pointer) variable name is valid.
+proc OnOkPtr { var_name script } {
+   if { [ uplevel info exists $var_name ] } {
+      upvar $var_name var
+      if { $var != 0 } { return [ uplevel $script ] }
+   }
+}
+
+#  Helper to exectute code only when the (pointer) variable name is 0.
+proc OnNullPtr { var_name script } {
+   if { [ uplevel info exists $var_name ] } {
+      upvar $var_name var
+      if { $var == 0 } { return [ uplevel $script ] }
+   }
+}
+
+#  Helper to exectute code only when the value of variable name is 1.
+proc OnSuccess { var_name script } {
+   if { $val != 0 } { return [ uplevel $script ] }
+}
+
+#  Helper to exectute code only when the value of variable name is 0.
+proc OnFail { val script } {
+   if { $val != 1 } { return [ uplevel $script ] }
+}
+
+#  Helper to get a component interface.
+proc GetInterface { iUnk id { name "" } } {
+   if {$iUnk == 0} { return 0 }
+   set iIface [ $iUnk GetInterface $id ]
+   OnNullPtr iIface {
+      if {![IsBlank $name]} {
+         ERR " Could not get the \"$name\" interface."
+      }
+   }
+   return $iIface
+}
+
+#  Helper to create a component and return one of its interfaces.
+proc CreateComponent { compId ifaceId { name "" } } {
+   set iUnk [ ::Xilinx::Cit::FactoryCreate $compId ]
+   set iIface [ GetInterface $iUnk $ifaceId ]
+   OnNullPtr iIface {
+      if {![IsBlank $name]} { ERR "Could not create a \"$name\" component." }
+   }
+   return $iIface
+}
+
+#  Helper to release an object
+proc Release { args } {
+   foreach iUnk $args {
+      set i_refcount [ GetInterface $iUnk $::xilinx::Prjrep::IRefCountID ]
+      OnNullPtr i_refcount { set i_refcount [ GetInterface $iUnk $::xilinx::CommonI::IRefCountID ] }
+      OnOkPtr i_refcount { $i_refcount Release }
+   }
+}
+
+#  Helper to loop over IIterator based pointers.
+proc ForEachIterEle { _ele_var_name _iter script } {
+   if {$_iter == 0} { return 0 }
+   upvar $_ele_var_name ele
+   for { $_iter First } { ![ $_iter IsEnd ] } { $_iter Next }  {
+      set ele [ $_iter CurrentItem ]
+      set returned_val [ uplevel $script ] 
+   }
+}
+
+#  Helper to get the Tcl Project Manager, if possible.
+proc GetTclProjectMgr { } {
+   set TclProjectMgrId "{7d528480-1196-4635-aba9-639446e4aa59}"
+   set iUnk [ Xilinx::CitP::CreateComponent $TclProjectMgrId ]
+   if {$iUnk == 0} { return 0 }
+   set iTclProjectMgr [ $iUnk GetInterface $::xilinx::TcltaskI::ITclProjectMgrID ]
+   OnNullPtr iTclProjectMgr {
+      ERR "Could not create a \"TclProjectMgr\" component."
+   }
+   return $iTclProjectMgr
+}
+
+#  Helper to get the current Tcl Project, if one is open.
+proc GetCurrentTclProject { } {
+   set iTclProject 0
+   set iTclProjectMgr [GetTclProjectMgr]
+   OnOkPtr iTclProjectMgr {
+      set errmsg ""
+      $iTclProjectMgr GetCurrentTclProject iTclProject errmsg
+   }
+   return $iTclProject
+}
+
+#  Helper to get the current HDProject, if one is open.
+proc GetCurrentHDProject { } {
+   set iHDProject 0
+   set iTclProjectMgr [GetTclProjectMgr]
+   set errmsg ""
+   OnOkPtr iTclProjectMgr { $iTclProjectMgr GetCurrentHDProject iHDProject errmsg }
+   OnNullPtr iHDProject {
+      ERR "Could not get the current HDProject."
+   }
+   return $iHDProject
+}
+
+#  Helper to create a Project Helper.
+proc GetProjectHelper { } {
+   set ProjectHelperID "{0725c3d2-5e9b-4383-a7b6-a80c932eac21}"
+   set iProjHelper [CreateComponent $ProjectHelperID $::xilinx::Dpm::IProjectHelperID "Project Helper"]
+   return $iProjHelper
+}
+
+#  Helper to find out if a project is currently open.
+#  Returns 1 if a project is open, otherwise 0.
+proc IsProjectOpen { } {
+   set iTclProject [GetCurrentTclProject]
+   set isOpen [expr {$iTclProject != 0}]
+   Release $iTclProject
+   return $isOpen
+}
+
+#  Helper to return the lock file for the specified project if there is one.
+#  Returns an empty string if there is no lock file on the specified project,
+#  or there is no corresponding .ise file
+#  This assumes that the project_file is in the current directory.
+#  It also assumes project_file does not have a path.
+proc GetProjectLockFile { project_file } {
+   if { ![ file isfile "$project_file" ] } {
+      return 
+   }
+   INFO "Checking for a lock file for \"$project_file\"."
+   set lock_file "__ISE_repository_${project_file}_.lock"
+   if { [ file isfile "$lock_file" ] } {
+      return $lock_file
+   }
+   return 
+}
+
+#  Helper to back up the project file.
+#  This assumes that the project_file is in the current directory.
+proc BackUpProject { project_file backup_file } {
+   if { ![ file isfile "$project_file" ] } {
+      WARN "Could not find \"$project_file\"; the project will not be backed up."
+   return 0
+   } else {
+      INFO "Backing up the project to \"$backup_file\"."
+      file copy -force "$project_file" "$backup_file"
+   }
+   return 1
+}
+
+#  Helper to remove the project file so that a new project can be created
+#  in its place. Presumably the old project is corrupted and can no longer
+#  be opened.
+proc RemoveProject { project_file } {
+   file delete -force "$project_file"
+   # Return failure if the project still exists.
+   if { [ file isfile "$project_file" ] } {
+      ERR "Could not remove \"$project_file\"; Unable to restore the project."
+      return 0
+   }
+   return 1
+}
+
+#  Helper to open a project and return a project facilitator (pointer).
+proc OpenFacilProject { project_name } {
+   # first make sure the tcl project mgr singleton exists
+   GetTclProjectMgr
+   # get a Project Helper and open the project.
+   set iProjHelper [GetProjectHelper]
+   if {$iProjHelper == 0} { return 0 }
+   set result [$iProjHelper Open $project_name]
+   OnFail $result {
+      if {$result == 576460769483292673} {
+         ERR "Could not open the project \"$project_name\" because it is locked."
+      } else {
+         ERR "Could not open the \"$project_name\" project."
+      }
+      Release $iProjHelper
+      set iProjHelper 0
+   }
+   return $iProjHelper
+}
+
+#  Helper to close and release a project.
+proc CloseFacilProject { iProjHelper } {
+   if {$iProjHelper == 0} { return }
+   $iProjHelper Close
+   Release $iProjHelper
+}
+
+#  Helper to get the Project from the Project Helper.
+#  Clients must release this.
+proc GetProject { iProjHelper } {
+   if {$iProjHelper == 0} { return 0 }
+   set dpm_project 0
+   $iProjHelper GetDpmProject dpm_project
+   set iProject [ GetInterface $dpm_project $xilinx::Dpm::IProjectID ]
+   OnNullPtr iProject {
+      ERR "Could not get the Project from the Project Helper."
+   }
+   return $iProject
+}
+
+#  Helper to get the File Manager from the Project Helper.
+#  Clients must release this.
+proc GetFileManager { iProjHelper } {
+   set iProject [GetProject $iProjHelper]
+   set iFileMgr [ GetInterface $iProject $xilinx::Dpm::IFileManagerID ]
+   OnNullPtr iFileMgr {
+      ERR "Could not get the File Manager from the Project Helper."
+   }
+   # Don't release the project here, clients will release it 
+   # when they release its IFileManager interface.
+   return $iFileMgr
+}
+
+#  Helper to get the Source Library Manager from the Project Helper.
+#  Clients must release this.
+proc GetSourceLibraryManager { iProjHelper } {
+   set iProject [GetProject $iProjHelper]
+   set iSourceLibraryMgr [ GetInterface $iProject $xilinx::Dpm::ISourceLibraryManagerID ]
+   OnNullPtr iSourceLibraryMgr {
+      ERR "Could not get the Source Library Manager from the Project Helper."
+   }
+   # Don't release the project here, clients will release it 
+   # when they release its IFileManager interface.
+   return $iSourceLibraryMgr
+}
+
+#  Helper to get the ProjSrcHelper from the Project Helper.
+#  Clients must NOT release this.
+proc GetProjSrcHelper { iProjHelper } {
+   set iSrcHelper [ GetInterface $iProjHelper $::xilinx::Dpm::IProjSrcHelperID IProjSrcHelper ]
+   OnNullPtr iSrcHelper {
+      ERR "Could not get the ProjSrcHelper from the Project Helper."
+   }
+   return $iSrcHelper
+}
+
+#  Helper to get the ScratchPropertyManager from the Project Helper.
+#  Clients must NOT release this.
+proc GetScratchPropertyManager { iProjHelper } {
+   set iPropTableFetch [ GetInterface $iProjHelper $xilinx::Dpm::IPropTableFetchID IPropTableFetch ]
+   set prop_table_comp 0
+   OnOkPtr iPropTableFetch {
+      $iPropTableFetch GetPropTable prop_table_comp
+   }
+   set iScratch [ GetInterface $prop_table_comp $xilinx::Dpm::IScratchPropertyManagerID ]
+   OnNullPtr iScratch {
+      ERR "Could not get the Scratch Property Manager from the Project Helper."
+   }
+   return $iScratch
+}
+
+#  Helper to get the Design from the Project Helper.
+#  Clients must release this.
+proc GetDesign { iProjHelper } {
+   set iProject [GetProject $iProjHelper]
+   set iDesign 0
+   OnOkPtr iProject { $iProject GetDesign iDesign }
+   OnNullPtr iDesign {
+      ERR "Could not get the Design from the Project Helper."
+   }
+   Release $iProject
+   return $iDesign
+}
+
+#  Helper to get the Data Store from the Project Helper.
+#  Clients must NOT release this.
+proc GetDataStore { iProjHelper } {
+   set iDesign [ GetDesign $iProjHelper]
+   set iDataStore 0
+   OnOkPtr iDesign { $iDesign GetDataStore iDataStore }
+   OnNullPtr iDataStore {
+      ERR "Could not get the Data Store from the Project Helper."
+   }
+   Release $iDesign
+   return $iDataStore
+}
+
+#  Helper to get the View Manager from the Project Helper.
+#  Clients must NOT release this.
+proc GetViewManager { iProjHelper } {
+   set iDesign [ GetDesign $iProjHelper]
+   set iViewMgr [ GetInterface $iDesign $xilinx::Dpm::IViewManagerID ]
+   OnNullPtr iViewMgr {
+      ERR "Could not get the View Manager from the Project Helper."
+   }
+   # Don't release the design here, clients will release it 
+   # when they release its IViewManager interface.
+   return $iViewMgr
+}
+
+#  Helper to get the Property Manager from the Project Helper.
+#  Clients must release this.
+proc GetPropertyManager { iProjHelper } {
+   set iDesign [ GetDesign $iProjHelper]
+   set iPropMgr 0
+   OnOkPtr iDesign { $iDesign GetPropertyManager iPropMgr }
+   OnNullPtr iPropMgr {
+      ERR "Could not get the Property Manager from the Project Helper."
+   }
+   Release $iDesign
+   return $iPropMgr
+}
+
+#  Helper to find a property template, based on prop_name
+#  Clients must NOT release this.
+proc GetPropertyTemplate { iProjHelper prop_name } {
+   set iPropTempl 0
+   set iUnk 0
+   set iDefdataId 0
+   set iPropTemplStore 0
+   set iDataStore [GetDataStore $iProjHelper]
+   OnOkPtr iDataStore { $iDataStore GetComponentByName $prop_name iUnk }
+   OnOkPtr iUnk { set iDefdataId [ GetInterface $iUnk $xilinx::Dpm::IDefDataIdID IDefDataId ] }
+   OnOkPtr iDefdataId {
+      set iPropTemplStore [ GetInterface $iDataStore $xilinx::Dpm::IPropertyTemplateStoreID IPropertyTemplateStore ]
+   }
+   OnOkPtr iPropTemplStore { $iPropTemplStore GetPropertyTemplate $iDefdataId iPropTempl }
+   OnNullPtr iPropTempl {
+      WARN "Could not get the property template for \"$prop_name\"."
+   }
+   return $iPropTempl
+}
+
+#  Helper to get a component's name.
+proc GetName { iUnk } {
+   set name ""
+   set iName [ GetInterface $iUnk $xilinx::Prjrep::INameID IName ]
+   OnOkPtr iName { $iName GetName name }
+   return $name
+}
+
+#  Helper to get the name of a view's type.
+proc GetViewTypeName { iView } {
+   set typeName ""
+   set iType 0
+   set iDefdataType 0
+   OnOkPtr iView { $iView GetType iType }
+   OnOkPtr iType {
+      set iDefdataType [ GetInterface $iType $xilinx::Dpm::IDefDataIdID IDefDataId ]
+   }
+   OnOkPtr iDefdataType { $iDefdataType GetID typeName }
+   return $typeName
+}
+
+#  Helper to find a view and return its context.
+#  Must clients release this?
+proc GetViewContext { iProjHelper view_id view_name } {
+   # Simply return if the view_id or view_name is empty.
+   if { [IsBlank $view_id] || [IsBlank $view_name] } { return 0 }
+   set foundview 0
+   set viewiter 0
+   set iViewMgr [GetViewManager $iProjHelper]
+   OnOkPtr iViewMgr { $iViewMgr GetViews viewiter }
+   ForEachIterEle view $viewiter {
+      set typeName [GetViewTypeName $view]
+      set name [GetName $view]
+      if { [ string equal $name $view_name ] && [ string equal $view_id $typeName ] } {
+         set foundview $view
+      }
+   }
+   set context [ GetInterface $foundview $xilinx::Dpm::IPropertyContextID ]
+   OnNullPtr context {
+      WARN "Could not get the context for view \"$view_id\":\"$view_name\"."
+   }
+   return $context
+}
+
+#  Helper to get a string property instance from the property manager.
+proc GetStringPropertyInstance { iProjHelper simple_id } {
+   set iPropMgr [GetPropertyManager $iProjHelper]
+   if {$iPropMgr == 0} { return 0 }
+   set iPropInst 0
+   $iPropMgr GetStringProperty $simple_id iPropInst
+   OnNullPtr iPropInst { WARN "Could not get the string property instance $simple_id." }
+   Release $iPropMgr
+   return $iPropInst
+}
+
+#  Helper to get a property instance from the property manager.
+proc GetPropertyInstance { iProjHelper view_name view_id prop_name } {
+   set iPropInst 0
+   set iPropTempl [ GetPropertyTemplate $iProjHelper $prop_name ]
+   if {$iPropTempl == 0} { return 0 }
+   set context [ GetViewContext $iProjHelper $view_id $view_name ]
+   set iPropMgr [GetPropertyManager $iProjHelper]
+   if {$iPropMgr == 0} { return 0 }
+   $iPropMgr GetPropertyInstance $iPropTempl $context iPropInst
+   OnNullPtr iPropInst {
+      if { ![IsBlank $view_id] && ![IsBlank $view_name] } {
+         WARN "Could not get the context sensitive property instance $prop_name."
+      } else {
+         WARN "Could not get the property instance $prop_name."
+      }
+   }
+   Release $iPropMgr
+   return $iPropInst
+}
+
+#  Helper to store properties back into the property manager.
+proc RestoreProcessProperties { iProjHelper process_props } {
+   INFO "Restoring process properties"
+   foreach { unused view_name view_id simple_id prop_name prop_val } $process_props {
+      set iPropInst 0
+      if {![IsBlank $simple_id]} {
+         set iPropInst [ GetStringPropertyInstance $iProjHelper $simple_id ]
+      } else {
+         set iPropInst [ GetPropertyInstance $iProjHelper $view_name $view_id $prop_name ]
+      }
+      OnOkPtr iPropInst {
+         OnFail [ $iPropInst SetStringValue "$prop_val" ] {
+            WARN "Could not set the value of the $prop_name property to \"$prop_val\"."
+         }
+      }
+      Release $iPropInst
+   }
+}
+
+#  Helper to recreate partitions from the variable name with
+#  a list of instance names.
+proc RestorePartitions { namelist } {
+   INFO "Restoring partitions."
+   set iHDProject [ GetCurrentHDProject ]
+   OnOkPtr iHDProject {
+      foreach name $namelist {
+         set iPartition [ $iHDProject CreatePartition "$name" ]
+      }
+   }
+}
+
+#  Helper to create and populate a library
+#
+proc CreateLibrary { iProjHelper libname filelist } {
+
+   set iLibMgr [ GetSourceLibraryManager $iProjHelper ]
+   set iFileMgr [ GetFileManager $iProjHelper ]
+
+   if {$iLibMgr == 0} { return 0 }
+   if {$iFileMgr == 0} { return 0 }
+
+   $iLibMgr CreateSourceLibrary "libname" ilib
+
+   OnOkPtr ilib {
+      foreach filename $filelist {
+         set argfile [ file normalize "$filename" ]
+         set found 0
+         set fileiter 0
+         $iFileMgr GetFiles fileiter
+         ForEachIterEle ifile $fileiter {
+            set path ""
+            set file ""
+            $ifile getPath path file
+            set currentfile [ file normalize [ file join "$path" "$file" ] ]
+            if { $currentfile == $argfile } {
+               set found 1
+               $ilib AddFile ifile
+               break
+            }
+         }
+         OnNullPtr found {
+            WARN "Could not add the file \"$filename\" to the library \"$libname\"."
+         }
+      }
+   }
+}
+
+#  Helper to create source libraries and populate them.
+proc RestoreSourceLibraries { iProjHelper libraries } {
+   INFO "Restoring source libraries."
+   foreach { libname filelist } $libraries {
+      CreateLibrary $iProjHelper "$libname" $filelist
+   }
+}
+
+# Helper to add user files to the project using the PnF.
+proc AddUserFiles { iProjHelper files } {
+   INFO "Adding User files."
+   set iconflict 0
+   set iSrcHelper [ GetProjSrcHelper $iProjHelper ]
+   if {$iSrcHelper == 0} { return 0 }
+   foreach filename $files {
+      INFO "Adding the file \"$filename\" to the project."
+      set result [$iSrcHelper AddSourceFile "$filename" iconflict]
+      OnFail $result {
+         if {$result == 6} {
+            INFO "The file \"$filename\" is already in the project."
+         } else {
+            ERR "A problem occurred adding the file \"$filename\" to the project."
+         }
+      }
+   }
+}
+
+# Helper to add files to the project and set their origination. 
+# Valid origination values are:
+#   0 - User
+#   1 - Generated
+#   2 - Imported
+# Files of origination "User" are added through the facilitator, 
+# otherwise they are added directly to the File Manager.
+proc AddImportedFiles { iProjHelper files origination } {
+   switch $origination {
+      0 { INFO "Adding User files." }
+      1 { INFO "Adding Generated files." }
+      2 { INFO "Adding Imported files." }
+      default {
+         ERR "Invalid parameter: origination was set to \"$origination\", but may only be 0, 1, or 2."
+         return 0
+      }
+   }
+   set iFileMgr [ GetFileManager $iProjHelper ]
+   if {$iFileMgr == 0} { return 0 }
+   foreach filename $files {
+      set file_type 0
+      set hdl_file 0
+      set result [$iFileMgr AddFile "$filename" $file_type hdl_file]
+      OnFail $result {
+         if {$result == 6} {
+            INFO "The file \"$filename\" is already in the project."
+         } elseif { $hdl_file == 0 } {
+            ERR "A problem occurred adding the file \"$filename\" to the project."
+         }
+      }
+      OnOkPtr hdl_file {
+         set ifile [ GetInterface $hdl_file $xilinx::Dpm::IFileID IFile ]
+         OnOkPtr ifile {
+            set result [ $ifile SetOrigination $origination ]
+            if {$result != 1} {
+               ERR "A problem occurred setting the origination of \"$filename\" to \"$origination\"."
+            }
+            Release $ifile
+         }
+      }
+   }
+   return 1
+}
+
+proc RestoreProjectSettings { iProjHelper project_settings } {
+   INFO "Restoring device settings"
+   set iScratch [GetScratchPropertyManager $iProjHelper]
+   set iPropIter 0
+   set iPropSet [ GetInterface $iScratch $xilinx::Dpm::IPropertyNodeSetID IPropertyNodeSet ]
+   OnOkPtr iPropSet {
+      $iPropSet GetIterator iPropIter
+   }
+   set index 0
+   set lastindex [llength $project_settings]
+   ForEachIterEle prop_node $iPropIter {
+      set prop_instance 0
+      $prop_node GetPropertyInstance prop_instance
+      if { $index < $lastindex } {
+         set argname [ lindex $project_settings $index ]
+         set argvalue [ lindex $project_settings [ expr $index + 1 ] ]
+      } else {
+         set argname {}
+         set argvalue {}
+      }
+      if { $prop_instance != 0 } {
+         set name {}
+         $prop_instance GetName name
+         if { [string equal $name $argname ] } {
+            $prop_instance SetStringValue $argvalue
+            incr index
+            incr index
+         }
+      }
+      Release $prop_instance
+   }
+   $iScratch Commit
+   # initialize
+   $iProjHelper Init
+}
+
+#  Helper to load a source control configuration from a stream
+#  and then store it back into an ise file.
+proc RestoreSourceControlOptions { prjfile istream } {
+   INFO "Restoring source control options"
+   set config_comp [::Xilinx::Cit::FactoryCreate $::xilinx::Dpm::SourceControlConfigurationCompID ]
+   OnOkPtr config_comp { set ipersist [ $config_comp GetInterface $xilinx::Prjrep::IPersistID ] }
+   OnOkPtr config_comp { set igetopts [ $config_comp GetInterface $xilinx::Dpm::SrcCtrl::IGetOptionsID ] }
+   set helper_comp [::Xilinx::Cit::FactoryCreate $::xilinx::Dpm::SourceControlHelpCompID ]
+   OnOkPtr helper_comp { set ihelper [ $config_comp GetInterface $xilinx::Dpm::SrcCtrl::IHelperID ] }
+   OnOkPtr ipersist { $ipersist Load istream }
+   OnOkPtr ihelper { OnOkPtr igetopts { $ihelper SaveOptions $prjfile $igetopts } }
+   Release $helper_comp $config_comp
+}
+
+proc import { {working_area ""} {staging_area ""} { srcctrl_comp 0 } } {
+  set project_file "LedMatrixCtrl.ise"
+  set old_working_dir [pwd]
+  # intialize the new project directory (work) and 
+  # source control reference directory (staging) to
+  # current working directory, when not specified
+  if { $working_area == "" } { set working_area [pwd] }
+  if { $staging_area == "" } { set staging_area [pwd] }
+  set copy_option relative
+  set import_files { 
+      "framebuffer.v" 
+      "s3astarter.ucf" 
+      "shiftinterface.v" 
+      "top.v" 
+      "top_guide.ncd"}
+  INFO "Copying files from \"$staging_area\" to \"$working_area\""
+  # Must be in the staging directory before calling CopyIn.
+  cd [file normalize "$staging_area"]
+  foreach file $import_files {
+     CopyIn "$file" "$working_area" $copy_option
+  }
+  set iProjHelper 0
+   # Bail if a project currently open.
+   if {[IsProjectOpen]} {
+      ERR "The project must be closed before performing this operation."
+      return 0
+   }
+   # Must be in the working area (i.e. project directory) before calling recreating the project.
+   cd [file normalize "$working_area"]
+   INFO "Recreating project \"$project_file\"."
+   HandleException {
+      set iProjHelper [ OpenFacilProject "$project_file"]
+   } "A problem occurred while creating the project \"$project_file\"."
+   if {$iProjHelper == 0} {
+      cd "$old_working_dir"
+      return 0
+   }
+  set project_settings { 
+     "PROP_DevFamily" "Spartan3A and Spartan3AN"
+     "PROP_DevDevice" "xc3s700an"
+     "PROP_DevPackage" "fgg484"
+     "PROP_DevSpeed" "-5"
+     "PROP_Top_Level_Module_Type" "HDL"
+     "PROP_Synthesis_Tool" "XST (VHDL/Verilog)"
+     "PROP_Simulator" "ISE Simulator (VHDL/Verilog)"
+     "PROP_PreferredLanguage" "Verilog"
+     "PROP_Enable_Message_Capture" "true"
+     "PROP_Enable_Message_Filtering" "false"
+     "PROP_Enable_Incremental_Messaging" "false"
+     }
+
+  HandleException {
+    RestoreProjectSettings $iProjHelper $project_settings 
+  } "A problem occured while restoring project settings."
+
+  set user_files { 
+      "framebuffer.v" 
+      "s3astarter.ucf" 
+      "shiftinterface.v" 
+      "top.v"}
+
+  HandleException {
+    AddUserFiles $iProjHelper $user_files
+  } "A problem occured while restoring user files."
+
+  set imported_files { 
+      "top_guide.ncd"}
+
+  set origination 2
+
+  HandleException {
+    AddImportedFiles $iProjHelper $imported_files $origination
+  } "A problem occured while restoring imported files."
+
+  set process_props { 
+      "A" "" "" "" "PROPEXT_SynthMultStyle_virtex2" "Auto" 
+      "A" "" "" "" "PROPEXT_xilxBitgCfg_Rate_spartan3a" "25" 
+      "A" "" "" "" "PROPEXT_xilxMapGenInputK_virtex2" "4" 
+      "A" "" "" "" "PROPEXT_xilxSynthAddBufg_spartan3e" "24" 
+      "A" "" "" "" "PROPEXT_xilxSynthMaxFanout_virtex2" "500" 
+      "A" "" "" "" "PROP_CPLDFitkeepio" "false" 
+      "A" "" "" "" "PROP_CompxlibAbelLib" "true" 
+      "A" "" "" "" "PROP_CompxlibCPLDDetLib" "true" 
+      "A" "" "" "" "PROP_CompxlibOtherCompxlibOpts" "" 
+      "A" "" "" "" "PROP_CompxlibOutputDir" "$XILINX/<language>/<simulator>" 
+      "A" "" "" "" "PROP_CompxlibOverwriteLib" "Overwrite" 
+      "A" "" "" "" "PROP_CompxlibSimPrimatives" "true" 
+      "A" "" "" "" "PROP_CompxlibXlnxCoreLib" "true" 
+      "A" "" "" "" "PROP_CurrentFloorplanFile" "" 
+      "A" "" "" "" "PROP_DesignName" "LedMatrixCtrl" 
+      "A" "" "" "" "PROP_Dummy" "dum1" 
+      "A" "" "" "" "PROP_EnableWYSIWYG" "None" 
+      "A" "" "" "" "PROP_Enable_Incremental_Messaging" "false" 
+      "A" "" "" "" "PROP_Enable_Message_Capture" "true" 
+      "A" "" "" "" "PROP_Enable_Message_Filtering" "false" 
+      "A" "" "" "" "PROP_FitterReportFormat" "HTML" 
+      "A" "" "" "" "PROP_FlowDebugLevel" "0" 
+      "A" "" "" "" "PROP_FunctionBlockInputLimit" "38" 
+      "A" "" "" "" "PROP_ISimLibSearchOrderFile" "" 
+      "A" "" "" "" "PROP_ISimSDFTimingToBeRead" "Setup Time" 
+      "A" "" "" "" "PROP_ISimUseCustomCompilationOrder" "false" 
+      "A" "" "" "" "PROP_ISimUseCustomSimCmdFile_behav_tb" "false" 
+      "A" "" "" "" "PROP_ISimUseCustomSimCmdFile_behav_tbw" "false" 
+      "A" "" "" "" "PROP_ISimUseCustomSimCmdFile_gen_tbw" "false" 
+      "A" "" "" "" "PROP_ISimUseCustomSimCmdFile_par_tb" "false" 
+      "A" "" "" "" "PROP_ISimUseCustomSimCmdFile_par_tbw" "false" 
+      "A" "" "" "" "PROP_ISimUutInstName" "UUT" 
+      "A" "" "" "" "PROP_ImpactProjectFile" "" 
+      "A" "" "" "" "PROP_Parse_Target" "synthesis" 
+      "A" "" "" "" "PROP_PartitionCreateDelete" "" 
+      "A" "" "" "" "PROP_PartitionForcePlacement" "" 
+      "A" "" "" "" "PROP_PartitionForceSynth" "" 
+      "A" "" "" "" "PROP_PartitionForceTranslate" "" 
+      "A" "" "" "" "PROP_PlsClockEnable" "true" 
+      "A" "" "" "" "PROP_PostTrceFastPath" "false" 
+      "A" "" "" "" "PROP_PreTrceFastPath" "false" 
+      "A" "" "" "" "PROP_SimModelGenerateTestbenchFile" "false" 
+      "A" "" "" "" "PROP_SimModelInsertBuffersPulseSwallow" "false" 
+      "A" "" "" "" "PROP_SimModelOtherNetgenOpts" "" 
+      "A" "" "" "" "PROP_SimModelRetainHierarchy" "true" 
+      "A" "" "" "" "PROP_SynthCaseImplStyle" "None" 
+      "A" "" "" "" "PROP_SynthDecoderExtract" "true" 
+      "A" "" "" "" "PROP_SynthEncoderExtract" "Yes" 
+      "A" "" "" "" "PROP_SynthExtractMux" "Yes" 
+      "A" "" "" "" "PROP_SynthExtractRAM" "true" 
+      "A" "" "" "" "PROP_SynthExtractROM" "true" 
+      "A" "" "" "" "PROP_SynthFsmEncode" "Auto" 
+      "A" "" "" "" "PROP_SynthLogicalShifterExtract" "true" 
+      "A" "" "" "" "PROP_SynthOpt" "Speed" 
+      "A" "" "" "" "PROP_SynthOptEffort" "Normal" 
+      "A" "" "" "" "PROP_SynthResSharing" "true" 
+      "A" "" "" "" "PROP_SynthShiftRegExtract" "true" 
+      "A" "" "" "" "PROP_SynthXORCollapse" "true" 
+      "A" "" "" "" "PROP_Top_Level_Module_Type" "HDL" 
+      "A" "" "" "" "PROP_UseDataGate" "true" 
+      "A" "" "" "" "PROP_UserConstraintEditorPreference" "Constraints Editor" 
+      "A" "" "" "" "PROP_UserEditorCustomSetting" "" 
+      "A" "" "" "" "PROP_UserEditorPreference" "ISE Text Editor" 
+      "A" "" "" "" "PROP_XPowerOptInputTclScript" "" 
+      "A" "" "" "" "PROP_XPowerOptLoadPCFFile" "Default" 
+      "A" "" "" "" "PROP_XPowerOptLoadVCDFile" "Default" 
+      "A" "" "" "" "PROP_XPowerOptLoadXMLFile" "Default" 
+      "A" "" "" "" "PROP_XPowerOptOutputFile" "Default" 
+      "A" "" "" "" "PROP_XPowerOptVerboseRpt" "false" 
+      "A" "" "" "" "PROP_XPowerOtherXPowerOpts" "" 
+      "A" "" "" "" "PROP_XplorerMode" "Off" 
+      "A" "" "" "" "PROP_bitgen_otherCmdLineOptions" "" 
+      "A" "" "" "" "PROP_cpldBestFit" "false" 
+      "A" "" "" "" "PROP_cpldfitHDLeqStyle" "Source" 
+      "A" "" "" "" "PROP_cpldfit_otherCmdLineOptions" "" 
+      "A" "" "" "" "PROP_fitGenSimModel" "false" 
+      "A" "" "" "" "PROP_hprep6_autosig" "false" 
+      "A" "" "" "" "PROP_hprep6_otherCmdLineOptions" "" 
+      "A" "" "" "" "PROP_ibiswriterShowAllModels" "false" 
+      "A" "" "" "" "PROP_impactConfigFileName_CPLD" "" 
+      "A" "" "" "" "PROP_mapUseRLOCConstraints" "true" 
+      "A" "" "" "" "PROP_map_otherCmdLineOptions" "" 
+      "A" "" "" "" "PROP_mpprRsltToCopy" "" 
+      "A" "" "" "" "PROP_mpprViewPadRptsForAllRslt" "true" 
+      "A" "" "" "" "PROP_mpprViewParRptsForAllRslt" "true" 
+      "A" "" "" "" "PROP_ngdbuildUseLOCConstraints" "true" 
+      "A" "" "" "" "PROP_ngdbuild_otherCmdLineOptions" "" 
+      "A" "" "" "" "PROP_parUseTimingConstraints" "true" 
+      "A" "" "" "" "PROP_par_otherCmdLineOptions" "" 
+      "A" "" "" "" "PROP_primeCorrelateOutput" "false" 
+      "A" "" "" "" "PROP_primeFlatternOutputNetlist" "false" 
+      "A" "" "" "" "PROP_primeTopLevelModule" "" 
+      "A" "" "" "" "PROP_primetimeBlockRamData" "" 
+      "A" "" "" "" "PROP_taengine_otherCmdLineOptions" "" 
+      "A" "" "" "" "PROP_xcpldFitDesInit" "Low" 
+      "A" "" "" "" "PROP_xcpldFitDesInputLmt_xbr" "32" 
+      "A" "" "" "" "PROP_xcpldFitDesMultiLogicOpt" "true" 
+      "A" "" "" "" "PROP_xcpldFitDesSlew" "Fast" 
+      "A" "" "" "" "PROP_xcpldFitDesTimingCst" "true" 
+      "A" "" "" "" "PROP_xcpldFitDesTriMode" "Keeper" 
+      "A" "" "" "" "PROP_xcpldFitDesUnused" "Keeper" 
+      "A" "" "" "" "PROP_xcpldFitDesVolt" "LVCMOS18" 
+      "A" "" "" "" "PROP_xcpldFitTemplate_xpla3" "Optimize Density" 
+      "A" "" "" "" "PROP_xcpldFittimRptOption" "Summary" 
+      "A" "" "" "" "PROP_xcpldUseGlobalClocks" "true" 
+      "A" "" "" "" "PROP_xcpldUseGlobalOutputEnables" "true" 
+      "A" "" "" "" "PROP_xcpldUseGlobalSetReset" "true" 
+      "A" "" "" "" "PROP_xcpldUseLocConst" "Always" 
+      "A" "" "" "" "PROP_xilxBitgCfg_Code" "0xFFFFFFFF" 
+      "A" "" "" "" "PROP_xilxBitgCfg_Done" "Pull Up" 
+      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_ASCIIFile" "false" 
+      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_BinaryFile" "false" 
+      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_BitFile" "true" 
+      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_Compress" "false" 
+      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_DRC" "true" 
+      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_EnableCRC" "true" 
+      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_IEEE1532File" "false" 
+      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_IEEE1532File_xbr" "false" 
+      "A" "" "" "" "PROP_xilxBitgCfg_GenOpt_ReadBack" "false" 
+      "A" "" "" "" "PROP_xilxBitgCfg_Pgm" "Pull Up" 
+      "A" "" "" "" "PROP_xilxBitgCfg_TCK" "Pull Up" 
+      "A" "" "" "" "PROP_xilxBitgCfg_TDI" "Pull Up" 
+      "A" "" "" "" "PROP_xilxBitgCfg_TDO" "Pull Up" 
+      "A" "" "" "" "PROP_xilxBitgCfg_TMS" "Pull Up" 
+      "A" "" "" "" "PROP_xilxBitgCfg_Unused" "Pull Down" 
+      "A" "" "" "" "PROP_xilxBitgReadBk_Sec" "Enable Readback and Reconfiguration" 
+      "A" "" "" "" "PROP_xilxBitgStart_Clk" "CCLK" 
+      "A" "" "" "" "PROP_xilxBitgStart_Clk_Done" "Default (4)" 
+      "A" "" "" "" "PROP_xilxBitgStart_Clk_DriveDone" "false" 
+      "A" "" "" "" "PROP_xilxBitgStart_Clk_EnOut" "Default (5)" 
+      "A" "" "" "" "PROP_xilxBitgStart_Clk_RelDLL" "Default (NoWait)" 
+      "A" "" "" "" "PROP_xilxBitgStart_Clk_WrtEn" "Default (6)" 
+      "A" "" "" "" "PROP_xilxBitgStart_IntDone" "false" 
+      "A" "" "" "" "PROP_xilxBitgSusWake_DriveAwakePin" "false" 
+      "A" "" "" "" "PROP_xilxBitgSusWake_EnFilterOnInput" "true" 
+      "A" "" "" "" "PROP_xilxBitgSusWake_EnGlblSetReset" "false" 
+      "A" "" "" "" "PROP_xilxBitgSusWake_EnPwrOnResetDetect" "true" 
+      "A" "" "" "" "PROP_xilxBitgSusWake_GTSCycle" "4" 
+      "A" "" "" "" "PROP_xilxBitgSusWake_GWECycle" "5" 
+      "A" "" "" "" "PROP_xilxBitgSusWake_WakeupClk" "Startup Clock" 
+      "A" "" "" "" "PROP_xilxMapAllowLogicOpt" "false" 
+      "A" "" "" "" "PROP_xilxMapCoverMode" "Area" 
+      "A" "" "" "" "PROP_xilxMapDisableRegOrdering" "false" 
+      "A" "" "" "" "PROP_xilxMapPackRegInto" "For Inputs and Outputs" 
+      "A" "" "" "" "PROP_xilxMapReplicateLogic" "true" 
+      "A" "" "" "" "PROP_xilxMapReportDetail" "false" 
+      "A" "" "" "" "PROP_xilxMapSliceLogicInUnusedBRAMs" "false" 
+      "A" "" "" "" "PROP_xilxMapTimingDrivenPacking" "false" 
+      "A" "" "" "" "PROP_xilxMapTrimUnconnSig" "true" 
+      "A" "" "" "" "PROP_xilxNgdbldIOPads" "false" 
+      "A" "" "" "" "PROP_xilxNgdbldMacro" "" 
+      "A" "" "" "" "PROP_xilxNgdbldNTType" "Timestamp" 
+      "A" "" "" "" "PROP_xilxNgdbldPresHierarchy" "false" 
+      "A" "" "" "" "PROP_xilxNgdbldUR" "" 
+      "A" "" "" "" "PROP_xilxNgdbldUnexpBlks" "false" 
+      "A" "" "" "" "PROP_xilxNgdbld_AUL" "false" 
+      "A" "" "" "" "PROP_xilxPARplacerCostTable" "1" 
+      "A" "" "" "" "PROP_xilxPARplacerEffortLevel" "None" 
+      "A" "" "" "" "PROP_xilxPARrouterEffortLevel" "None" 
+      "A" "" "" "" "PROP_xilxPARstrat" "Normal Place and Route" 
+      "A" "" "" "" "PROP_xilxPARuseBondedIO" "false" 
+      "A" "" "" "" "PROP_xilxPostTrceAdvAna" "false" 
+      "A" "" "" "" "PROP_xilxPostTrceRpt" "Error Report" 
+      "A" "" "" "" "PROP_xilxPostTrceRptLimit" "3" 
+      "A" "" "" "" "PROP_xilxPostTrceStamp" "" 
+      "A" "" "" "" "PROP_xilxPostTrceTSIFile" "" 
+      "A" "" "" "" "PROP_xilxPostTrceUncovPath" "" 
+      "A" "" "" "" "PROP_xilxPreTrceAdvAna" "false" 
+      "A" "" "" "" "PROP_xilxPreTrceRpt" "Error Report" 
+      "A" "" "" "" "PROP_xilxPreTrceRptLimit" "3" 
+      "A" "" "" "" "PROP_xilxPreTrceUncovPath" "" 
+      "A" "" "" "" "PROP_xilxSynthAddIObuf" "true" 
+      "A" "" "" "" "PROP_xilxSynthGlobOpt" "AllClockNets" 
+      "A" "" "" "" "PROP_xilxSynthKeepHierarchy" "No" 
+      "A" "" "" "" "PROP_xilxSynthKeepHierarchy_CPLD" "Yes" 
+      "A" "" "" "" "PROP_xilxSynthMacroPreserve" "true" 
+      "A" "" "" "" "PROP_xilxSynthRegBalancing" "No" 
+      "A" "" "" "" "PROP_xilxSynthRegDuplication" "true" 
+      "A" "" "" "" "PROP_xilxSynthXORPreserve" "true" 
+      "A" "" "" "" "PROP_xstAsynToSync" "false" 
+      "A" "" "" "" "PROP_xstAutoBRAMPacking" "false" 
+      "A" "" "" "" "PROP_xstBRAMUtilRatio" "100" 
+      "A" "" "" "" "PROP_xstBusDelimiter" "<>" 
+      "A" "" "" "" "PROP_xstCase" "Maintain" 
+      "A" "" "" "" "PROP_xstCoresSearchDir" "" 
+      "A" "" "" "" "PROP_xstCrossClockAnalysis" "false" 
+      "A" "" "" "" "PROP_xstEquivRegRemoval" "true" 
+      "A" "" "" "" "PROP_xstFsmStyle" "LUT" 
+      "A" "" "" "" "PROP_xstGenerateRTLNetlist" "Yes" 
+      "A" "" "" "" "PROP_xstGenericsParameters" "" 
+      "A" "" "" "" "PROP_xstHierarchySeparator" "/" 
+      "A" "" "" "" "PROP_xstIniFile" "" 
+      "A" "" "" "" "PROP_xstLibSearchOrder" "" 
+      "A" "" "" "" "PROP_xstOptimizeInsPrimtives" "false" 
+      "A" "" "" "" "PROP_xstPackIORegister" "Auto" 
+      "A" "" "" "" "PROP_xstReadCores" "true" 
+      "A" "" "" "" "PROP_xstSlicePacking" "true" 
+      "A" "" "" "" "PROP_xstSliceUtilRatio" "100" 
+      "A" "" "" "" "PROP_xstUseClockEnable" "Yes" 
+      "A" "" "" "" "PROP_xstUseSyncReset" "Yes" 
+      "A" "" "" "" "PROP_xstUseSyncSet" "Yes" 
+      "A" "" "" "" "PROP_xstUseSynthConstFile" "true" 
+      "A" "" "" "" "PROP_xstUserCompileList" "" 
+      "A" "" "" "" "PROP_xstVeriIncludeDir_Global" "" 
+      "A" "" "" "" "PROP_xstVerilog2001" "true" 
+      "A" "" "" "" "PROP_xstVerilogMacros" "" 
+      "A" "" "" "" "PROP_xstWorkDir" "./xst" 
+      "A" "" "" "" "PROP_xstWriteTimingConstraints" "false" 
+      "A" "" "" "" "PROP_xst_otherCmdLineOptions" "" 
+      "A" "AutoGeneratedView" "VIEW_AbstractSimulation" "" "PROP_TopDesignUnit" "Module|top" 
+      "A" "AutoGeneratedView" "VIEW_AnalyzedDesign" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_AnnotatedPreSimulation" "" "PROP_ISimIncreCompilation" "true" 
+      "A" "AutoGeneratedView" "VIEW_AnnotatedPreSimulation" "" "PROP_ISimSpecifyDefMacroAndValue" "" 
+      "A" "AutoGeneratedView" "VIEW_AnnotatedPreSimulation" "" "PROP_ISimSpecifySearchDirectory" "" 
+      "A" "AutoGeneratedView" "VIEW_AnnotatedPreSimulation" "" "PROP_ISimValueRangeCheck" "false" 
+      "A" "AutoGeneratedView" "VIEW_AnnotatedPreSimulation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_AnnotatedResultsFuse" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_AnnotatedResultsISim" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_BehavioralFuse" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_BehavioralSimulationISim" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_FPGAConfiguration" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_FPGAConfigureDevice" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_FPGAGeneratePROM" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_Map" "" "PROP_SmartGuide" "false" 
+      "A" "AutoGeneratedView" "VIEW_Map" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_Par" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_Post-MapAbstractSimulation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_Post-MapPreSimulation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_Post-ParAbstractSimulation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_Post-ParFuse" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_Post-ParPreSimulation" "" "PROP_ISimCompileForHdlDebug" "true" 
+      "A" "AutoGeneratedView" "VIEW_Post-ParPreSimulation" "" "PROP_ISimIncreCompilation" "true" 
+      "A" "AutoGeneratedView" "VIEW_Post-ParPreSimulation" "" "PROP_ISimSpecifyDefMacroAndValue" "" 
+      "A" "AutoGeneratedView" "VIEW_Post-ParPreSimulation" "" "PROP_ISimSpecifySearchDirectory" "" 
+      "A" "AutoGeneratedView" "VIEW_Post-ParPreSimulation" "" "PROP_ISimValueRangeCheck" "false" 
+      "A" "AutoGeneratedView" "VIEW_Post-ParPreSimulation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_Post-ParSimulationISim" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_Post-SynthesisAbstractSimulation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_Post-TranslateAbstractSimulation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_Post-TranslatePreSimulation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_PostAbstractSimulation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_PreSimulation" "" "PROP_ISimCompileForHdlDebug" "true" 
+      "A" "AutoGeneratedView" "VIEW_PreSimulation" "" "PROP_ISimIncreCompilation" "true" 
+      "A" "AutoGeneratedView" "VIEW_PreSimulation" "" "PROP_ISimSpecifyDefMacroAndValue" "" 
+      "A" "AutoGeneratedView" "VIEW_PreSimulation" "" "PROP_ISimSpecifySearchDir" "" 
+      "A" "AutoGeneratedView" "VIEW_PreSimulation" "" "PROP_ISimSpecifySearchDirectory" "" 
+      "A" "AutoGeneratedView" "VIEW_PreSimulation" "" "PROP_ISimValueRangeCheck" "false" 
+      "A" "AutoGeneratedView" "VIEW_PreSimulation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_Structural" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_TBWBehavioralFuse" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_TBWBehavioralSimulationISim" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_TBWPost-MapPreSimulation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_TBWPost-ParFuse" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_TBWPost-ParPreSimulation" "" "PROP_ISimCompileForHdlDebug" "true" 
+      "A" "AutoGeneratedView" "VIEW_TBWPost-ParPreSimulation" "" "PROP_ISimIncreCompilation" "true" 
+      "A" "AutoGeneratedView" "VIEW_TBWPost-ParPreSimulation" "" "PROP_ISimSpecifyDefMacroAndValue" "" 
+      "A" "AutoGeneratedView" "VIEW_TBWPost-ParPreSimulation" "" "PROP_ISimSpecifySearchDirectory" "" 
+      "A" "AutoGeneratedView" "VIEW_TBWPost-ParPreSimulation" "" "PROP_ISimValueRangeCheck" "false" 
+      "A" "AutoGeneratedView" "VIEW_TBWPost-ParPreSimulation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_TBWPost-ParSimulationISim" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_TBWPost-TranslatePreSimulation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_TBWPreSimulation" "" "PROP_ISimCompileForHdlDebug" "true" 
+      "A" "AutoGeneratedView" "VIEW_TBWPreSimulation" "" "PROP_ISimIncreCompilation" "true" 
+      "A" "AutoGeneratedView" "VIEW_TBWPreSimulation" "" "PROP_ISimSpecifyDefMacroAndValue" "" 
+      "A" "AutoGeneratedView" "VIEW_TBWPreSimulation" "" "PROP_ISimSpecifySearchDirectory" "" 
+      "A" "AutoGeneratedView" "VIEW_TBWPreSimulation" "" "PROP_ISimValueRangeCheck" "false" 
+      "A" "AutoGeneratedView" "VIEW_TBWPreSimulation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_Translation" "" "PROP_SmartGuide" "false" 
+      "A" "AutoGeneratedView" "VIEW_Translation" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_UpdatedBitstream" "" "PROP_TopDesignUnit" "" 
+      "A" "AutoGeneratedView" "VIEW_XSTAbstractSynthesis" "" "PROP_SmartGuide" "false" 
+      "A" "AutoGeneratedView" "VIEW_XSTAbstractSynthesis" "" "PROP_TopDesignUnit" "Module|top" 
+      "A" "AutoGeneratedView" "VIEW_XSTPreSynthesis" "" "PROP_TopDesignUnit" "Module|top" 
+      "A" "AutoGeneratedView" "VIEW_XSTPreSynthesis" "" "PROP_xstVeriIncludeDir" "" 
+      "A" "VIEW_Initial" "VIEW_Initial" "" "PROP_TopDesignUnit" "Module|top" 
+      "B" "" "" "" "PROP_AutoGenFile" "false" 
+      "B" "" "" "" "PROP_DevFamily" "Spartan3A and Spartan3AN" 
+      "B" "" "" "" "PROP_FitterOptimization_xpla3" "Density" 
+      "B" "" "" "" "PROP_ISimCustomCompilationOrderFile" "" 
+      "B" "" "" "" "PROP_ISimCustomSimCmdFileName_behav_tb" "" 
+      "B" "" "" "" "PROP_ISimCustomSimCmdFileName_behav_tbw" "" 
+      "B" "" "" "" "PROP_ISimCustomSimCmdFileName_gen_tbw" "" 
+      "B" "" "" "" "PROP_ISimCustomSimCmdFileName_par_tb" "" 
+      "B" "" "" "" "PROP_ISimCustomSimCmdFileName_par_tbw" "" 
+      "B" "" "" "" "PROP_ISimGenVCDFile_par_tb" "false" 
+      "B" "" "" "" "PROP_ISimGenVCDFile_par_tbw" "false" 
+      "B" "" "" "" "PROP_ISimSimulationRun_behav_tb" "true" 
+      "B" "" "" "" "PROP_ISimSimulationRun_behav_tbw" "true" 
+      "B" "" "" "" "PROP_ISimSimulationRun_par_tb" "true" 
+      "B" "" "" "" "PROP_ISimSimulationRun_par_tbw" "true" 
+      "B" "" "" "" "PROP_ISimStoreAllSignalTransitions_behav_tb" "false" 
+      "B" "" "" "" "PROP_ISimStoreAllSignalTransitions_behav_tbw" "false" 
+      "B" "" "" "" "PROP_ISimStoreAllSignalTransitions_par_tb" "false" 
+      "B" "" "" "" "PROP_ISimStoreAllSignalTransitions_par_tbw" "false" 
+      "B" "" "" "" "PROP_MapEffortLevel" "Medium" 
+      "B" "" "" "" "PROP_MapLogicOptimization" "false" 
+      "B" "" "" "" "PROP_MapPlacerCostTable" "1" 
+      "B" "" "" "" "PROP_MapPowerReduction" "false" 
+      "B" "" "" "" "PROP_MapRegDuplication" "false" 
+      "B" "" "" "" "PROP_SimModelRenTopLevInstTo" "UUT" 
+      "B" "" "" "" "PROP_Simulator" "ISE Simulator (VHDL/Verilog)" 
+      "B" "" "" "" "PROP_SynthConstraintsFile" "" 
+      "B" "" "" "" "PROP_SynthMuxStyle" "Auto" 
+      "B" "" "" "" "PROP_SynthRAMStyle" "Auto" 
+      "B" "" "" "" "PROP_XPowerOptAdvancedVerboseRpt" "false" 
+      "B" "" "" "" "PROP_XPowerOptMaxNumberLines" "1000" 
+      "B" "" "" "" "PROP_XPowerOptUseTimeBased" "false" 
+      "B" "" "" "" "PROP_impactBaud" "None" 
+      "B" "" "" "" "PROP_impactConfigMode" "None" 
+      "B" "" "" "" "PROP_impactPort" "None" 
+      "B" "" "" "" "PROP_mpprViewPadRptForSelRslt" "" 
+      "B" "" "" "" "PROP_mpprViewParRptForSelRslt" "" 
+      "B" "" "" "" "PROP_parGenAsyDlyRpt" "false" 
+      "B" "" "" "" "PROP_parGenClkRegionRpt" "false" 
+      "B" "" "" "" "PROP_parGenSimModel" "false" 
+      "B" "" "" "" "PROP_parGenTimingRpt" "true" 
+      "B" "" "" "" "PROP_parMpprNodelistFile" "" 
+      "B" "" "" "" "PROP_parMpprParIterations" "3" 
+      "B" "" "" "" "PROP_parMpprResultsDirectory" "" 
+      "B" "" "" "" "PROP_parMpprResultsToSave" "" 
+      "B" "" "" "" "PROP_parPowerReduction" "false" 
+      "B" "" "" "" "PROP_xcpldFitDesInReg_xbr" "true" 
+      "B" "" "" "" "PROP_xcpldFitDesPtermLmt_xbr" "28" 
+      "B" "" "" "" "PROP_xilxBitgCfg_GenOpt_DbgBitStr" "false" 
+      "B" "" "" "" "PROP_xilxBitgCfg_GenOpt_LogicAllocFile" "false" 
+      "B" "" "" "" "PROP_xilxBitgCfg_GenOpt_MaskFile" "false" 
+      "B" "" "" "" "PROP_xilxBitgReadBk_GenBitStr" "false" 
+      "B" "" "" "" "PROP_xilxMapPackfactor" "100" 
+      "B" "" "" "" "PROP_xilxPAReffortLevel" "Standard" 
+      "B" "" "" "" "PROP_xstMoveFirstFfStage" "true" 
+      "B" "" "" "" "PROP_xstMoveLastFfStage" "true" 
+      "B" "" "" "" "PROP_xstROMStyle" "Auto" 
+      "B" "" "" "" "PROP_xstSafeImplement" "No" 
+      "B" "AutoGeneratedView" "VIEW_Map" "" "PROP_ParSmartGuideFileName" "" 
+      "B" "AutoGeneratedView" "VIEW_Translation" "" "PROP_MapSmartGuideFileName" "" 
+      "C" "" "" "" "PROP_AceActiveName" "" 
+      "C" "" "" "" "PROP_CompxlibLang" "VHDL" 
+      "C" "" "" "" "PROP_CompxlibSimPath" "Search in Path" 
+      "C" "" "" "" "PROP_DevDevice" "xc3s700an" 
+      "C" "" "" "" "PROP_DevFamilyPMName" "spartan3a" 
+      "C" "" "" "" "PROP_ISimSimulationRunTime_behav_tb" "1000 ns" 
+      "C" "" "" "" "PROP_ISimSimulationRunTime_behav_tbw" "1000 ns" 
+      "C" "" "" "" "PROP_ISimSimulationRunTime_par_tb" "1000 ns" 
+      "C" "" "" "" "PROP_ISimSimulationRunTime_par_tbw" "1000 ns" 
+      "C" "" "" "" "PROP_ISimVCDFileName_par_tb" "xpower.vcd" 
+      "C" "" "" "" "PROP_ISimVCDFileName_par_tbw" "xpower.vcd" 
+      "C" "" "" "" "PROP_MapExtraEffort" "None" 
+      "C" "" "" "" "PROP_SimModelGenMultiHierFile" "false" 
+      "C" "" "" "" "PROP_XPowerOptBaseTimeUnit" "ps" 
+      "C" "" "" "" "PROP_XPowerOptNumberOfUnits" "1" 
+      "C" "" "" "" "PROP_impactConfigFileName" "" 
+      "C" "" "" "" "PROP_xilxPARextraEffortLevel" "None" 
+      "D" "" "" "" "PROP_CompxlibUni9000Lib" "true" 
+      "D" "" "" "" "PROP_CompxlibUniSimLib" "true" 
+      "D" "" "" "" "PROP_DevPackage" "fgg484" 
+      "D" "" "" "" "PROP_Synthesis_Tool" "XST (VHDL/Verilog)" 
+      "E" "" "" "" "PROP_DevSpeed" "-5" 
+      "E" "" "" "" "PROP_PreferredLanguage" "Verilog" 
+      "F" "" "" "" "PROP_ChangeDevSpeed" "-5" 
+      "F" "" "" "" "PROP_SimModelTarget" "Verilog" 
+      "F" "" "" "" "PROP_tbwTestbenchTargetLang" "Verilog" 
+      "F" "" "" "" "PROP_xilxPostTrceSpeed" "-5" 
+      "F" "" "" "" "PROP_xilxPreTrceSpeed" "-5" 
+      "G" "" "" "" "PROP_PostSynthSimModelName" "_synthesis.v" 
+      "G" "" "" "" "PROP_SimModelAutoInsertGlblModuleInNetlist" "true" 
+      "G" "" "" "" "PROP_SimModelGenArchOnly" "false" 
+      "G" "" "" "" "PROP_SimModelIncSdfAnnInVerilogFile" "true" 
+      "G" "" "" "" "PROP_SimModelIncSimprimInVerilogFile" "false" 
+      "G" "" "" "" "PROP_SimModelIncUnisimInVerilogFile" "false" 
+      "G" "" "" "" "PROP_SimModelIncUselibDirInVerilogFile" "false" 
+      "G" "" "" "" "PROP_SimModelNoEscapeSignal" "false" 
+      "G" "" "" "" "PROP_SimModelOutputExtIdent" "false" 
+      "G" "" "" "" "PROP_SimModelRenTopLevArchTo" "Structure" 
+      "G" "" "" "" "PROP_SimModelRenTopLevMod" "" 
+      "G" "AutoGeneratedView" "VIEW_Map" "" "PROP_PostMapSimModelName" "_map.v" 
+      "G" "AutoGeneratedView" "VIEW_Par" "" "PROP_PostParSimModelName" "_timesim.v" 
+      "G" "AutoGeneratedView" "VIEW_Post-MapAbstractSimulation" "" "PROP_tbwPostMapTestbenchName" "" 
+      "G" "AutoGeneratedView" "VIEW_Post-ParAbstractSimulation" "" "PROP_tbwPostParTestbenchName" "" 
+      "G" "AutoGeneratedView" "VIEW_Post-TranslateAbstractSimulation" "" "PROP_tbwPostXlateTestbenchName" "" 
+      "G" "AutoGeneratedView" "VIEW_Translation" "" "PROP_PostXlateSimModelName" "_translate.v" 
+      "H" "" "" "" "PROP_SimModelBringOutGsrNetAsAPort" "false" 
+      "H" "" "" "" "PROP_SimModelBringOutGtsNetAsAPort" "false" 
+      "H" "" "" "" "PROP_SimModelPathUsedInSdfAnn" "Default" 
+      "H" "AutoGeneratedView" "VIEW_Map" "" "PROP_SimModelRenTopLevEntTo" "" 
+      "H" "AutoGeneratedView" "VIEW_Par" "" "PROP_SimModelRenTopLevEntTo" "" 
+      "H" "AutoGeneratedView" "VIEW_Structural" "" "PROP_SimModelRenTopLevEntTo" "" 
+      "H" "AutoGeneratedView" "VIEW_Translation" "" "PROP_SimModelRenTopLevEntTo" "" 
+      "I" "" "" "" "PROP_SimModelGsrPortName" "GSR_PORT" 
+      "I" "" "" "" "PROP_SimModelGtsPortName" "GTS_PORT" 
+      "I" "" "" "" "PROP_SimModelRocPulseWidth" "100" 
+      "I" "" "" "" "PROP_SimModelTocPulseWidth" "0"}
+
+  HandleException {
+    RestoreProcessProperties $iProjHelper $process_props
+  } "A problem occured while restoring process properties."
+
+   # library names and their members
+   set libraries {
+   }
+
+  HandleException {
+    RestoreSourceLibraries $iProjHelper $libraries
+  } "A problem occured while restoring source libraries."
+
+   # partition names for recreation
+   set partition_names {
+   }
+
+  HandleException {
+    RestorePartitions $partition_names
+  } "A problem occured while restoring partitions."
+
+   set opts_stream [ [Xilinx::Cit::FactoryCreate $::xilinx::Dpm::StreamBufferCompID ]  GetInterface $xilinx::Prjrep::IStreamID ] 
+     $opts_stream WriteString "5"
+     $opts_stream WriteString "5"
+     $opts_stream WriteString "5"
+     $opts_stream WriteString "5"
+     $opts_stream WriteString "0"
+     $opts_stream WriteString "0"
+     $opts_stream WriteString "3"
+     $opts_stream WriteString "1"
+     $opts_stream WriteString "1"
+     $opts_stream WriteString "1"
+     $opts_stream WriteString "2"
+     $opts_stream WriteString "0"
+     $opts_stream WriteString "0"
+     $opts_stream WriteString "1"
+   RestoreSourceControlOptions "$project_file" $opts_stream
+   Release $opts_stream
+  if { $srcctrl_comp != 0 } {
+     set i_prjref [ $srcctrl_comp GetInterface $::xilinx::Dpm::IProjectHelperReferenceID ]
+     $i_prjref Set iProjHelper
+  } elseif {$iProjHelper != 0} {
+     $iProjHelper Close
+  }
+  Release $iProjHelper
+  # return back
+  cd $old_working_dir
+}
+

Copied: projects/FPGAMatrix/verilog/framebuffer.pl (from rev 381, user/kintel/FPGAMatrix/fpga/framebuffer.pl)
===================================================================
--- projects/FPGAMatrix/verilog/framebuffer.pl	                        (rev 0)
+++ projects/FPGAMatrix/verilog/framebuffer.pl	2008-04-25 23:59:57 UTC (rev 430)
@@ -0,0 +1,99 @@
+#!/c/Perl/bin/perl -w
+
+use English;
+use strict;
+
+my %numbers = (
+	0 => [	"***",
+		"* *",
+		"* *",
+		"* *",
+		"***" ],
+
+	1 => [	" * ",
+		" * ",
+		" * ",
+		" * ",
+		" * " ],
+
+	2 => [	"***",
+		"  *",
+		"***",
+		"*  ",
+		"***" ],
+
+	3 => [	"***",
+		"  *",
+		"***",
+		"  *",
+		"***" ],
+
+	4 => [	"* *",
+		"* *",
+		"***",
+		"  *",
+		"  *" ],
+
+	5 => [	"***",
+		"*  ",
+		"***",
+		"  *",
+		"***" ],
+
+	6 => [	"***",
+		"*  ",
+		"***",
+		"* *",
+		"***" ],
+
+	7 => [	"***",
+		"  *",
+		" * ",
+		"*  ",
+		"*  " ],
+
+	8 => [	"***",
+		"* *",
+		"***",
+		"* *",
+		"***" ],
+
+	9 => [	"***",
+		"* *",
+		"***",
+		"  *",
+		"***" ],
+);
+
+sub getbit($$$)
+{
+	my ($n, $x, $y) = @_;
+	$numbers{$n}[$y] =~ /^.{$x}(.)/ or die;
+	return $1 eq "*" ? "f" : "0";
+}
+
+for (my $seg=0; $seg < 54; $seg++)
+{
+	my $d1 = int($seg / 10);
+	my $d2 = $seg % 10;
+
+	printf "\t\t.INIT_%02X(256'h", $seg;
+
+	for (my $x=7; $x >= 0; $x--) {
+	for (my $y=7; $y >= 0; $y--) {
+		if ($y < 5 && $x < 3) {
+			print getbit($d1, $x, $y);
+			next;
+		}
+		if ($y < 5 && $x > 3 && $x < 7) {
+			print getbit($d2, $x-4, $y);
+			next;
+		}
+		print "0";
+	}}
+
+	print ")";
+	print "," if $seg != 53;
+	print "\n";
+}
+

Copied: projects/FPGAMatrix/verilog/framebuffer.v (from rev 381, user/kintel/FPGAMatrix/fpga/framebuffer.v)
===================================================================
--- projects/FPGAMatrix/verilog/framebuffer.v	                        (rev 0)
+++ projects/FPGAMatrix/verilog/framebuffer.v	2008-04-25 23:59:57 UTC (rev 430)
@@ -0,0 +1,106 @@
+`timescale 1ns / 1ps
+//////////////////////////////////////////////////////////////////////////////////
+//
+// Company: Metalab (www.metalab.com)
+// Engineer: Clifford Wolf (www.clifford.at)
+// 
+// Create Date:    16:03:38 07/31/2007 
+// Design Name:    MetaLEDS Control FPGA
+// Module Name:    framebuffer
+// Project Name:   MetaLEDS
+// Target Devices: Xilinx Spartan 3 Family
+//
+//////////////////////////////////////////////////////////////////////////////////
+module framebuffer(
+	input [11:0] wr_addr,
+	input [3:0] wr_data,
+	input wr_clk,
+	input wr_en,
+	input [11:0] rd_addr,
+	output [3:0] rd_data,
+	input rd_clk);
+
+   RAMB16_S4_S4 #(
+      // The following INIT_xx declarations specify the initial contents of the RAM
+		//            |------||------||------||------||------||------||------||------|
+//		.INIT_00(256'hffff7777eeee6666dddd5555cccc4444bbbb3333aaaa22229999111188880000),
+//		.INIT_00(256'h0f3333f0f0f33f0f3f0ff0f333f00f3333f00f333f0ff0f3f0f33f0f0f3333f0),
+
+		// default data - generated with framebuffer.pl
+//		.INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
+		.INIT_01(256'hffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffffff),
+		.INIT_02(256'hffffffff00000000000000000000000000000000000000000000000000000000),
+		.INIT_03(256'hf0000000f0000000f0000000f0000000f0000000f0000000f0000000f0000000),
+//		.INIT_00(256'h00000000000fffff000f000f000fffff00000000000fffff000f000f000fffff),
+//		.INIT_01(256'h0000000000000000000fffff0000000000000000000fffff000f000f000fffff),
+//		.INIT_02(256'h00000000000f0fff000f0f0f000fff0f00000000000fffff000f000f000fffff),
+		.INIT_00(256'hf000000f0f0000f000f00f00000ff000000ff00000f00f000f0000f0f000000f),
+//		.INIT_03(256'h00000000000fffff000f0f0f000f0f0f00000000000fffff000f000f000fffff),
+		.INIT_04(256'h00000000000fffff00000f0000000fff00000000000fffff000f000f000fffff),
+		.INIT_05(256'h00000000000fff0f000f0f0f000f0fff00000000000fffff000f000f000fffff),
+		.INIT_06(256'h00000000000fff0f000f0f0f000fffff00000000000fffff000f000f000fffff),
+		.INIT_07(256'h00000000000000ff00000f0f000ff00f00000000000fffff000f000f000fffff),
+		.INIT_08(256'h00000000000fffff000f0f0f000fffff00000000000fffff000f000f000fffff),
+		.INIT_09(256'h00000000000fffff000f0f0f000f0fff00000000000fffff000f000f000fffff),
+		.INIT_0A(256'h00000000000fffff000f000f000fffff0000000000000000000fffff00000000),
+		.INIT_0B(256'h0000000000000000000fffff000000000000000000000000000fffff00000000),
+		.INIT_0C(256'h00000000000f0fff000f0f0f000fff0f0000000000000000000fffff00000000),
+		.INIT_0D(256'h00000000000fffff000f0f0f000f0f0f0000000000000000000fffff00000000),
+		.INIT_0E(256'h00000000000fffff00000f0000000fff0000000000000000000fffff00000000),
+		.INIT_0F(256'h00000000000fff0f000f0f0f000f0fff0000000000000000000fffff00000000),
+		.INIT_10(256'h00000000000fff0f000f0f0f000fffff0000000000000000000fffff00000000),
+		.INIT_11(256'h00000000000000ff00000f0f000ff00f0000000000000000000fffff00000000),
+		.INIT_12(256'h00000000000fffff000f0f0f000fffff0000000000000000000fffff00000000),
+		.INIT_13(256'h00000000000fffff000f0f0f000f0fff0000000000000000000fffff00000000),
+		.INIT_14(256'h00000000000fffff000f000f000fffff00000000000f0fff000f0f0f000fff0f),
+		.INIT_15(256'h0000000000000000000fffff0000000000000000000f0fff000f0f0f000fff0f),
+		.INIT_16(256'h00000000000f0fff000f0f0f000fff0f00000000000f0fff000f0f0f000fff0f),
+		.INIT_17(256'h00000000000fffff000f0f0f000f0f0f00000000000f0fff000f0f0f000fff0f),
+		.INIT_18(256'h00000000000fffff00000f0000000fff00000000000f0fff000f0f0f000fff0f),
+		.INIT_19(256'h00000000000fff0f000f0f0f000f0fff00000000000f0fff000f0f0f000fff0f),
+		.INIT_1A(256'h00000000000fff0f000f0f0f000fffff00000000000f0fff000f0f0f000fff0f),
+		.INIT_1B(256'h00000000000000ff00000f0f000ff00f00000000000f0fff000f0f0f000fff0f),
+		.INIT_1C(256'h00000000000fffff000f0f0f000fffff00000000000f0fff000f0f0f000fff0f),
+		.INIT_1D(256'h00000000000fffff000f0f0f000f0fff00000000000f0fff000f0f0f000fff0f),
+		.INIT_1E(256'h00000000000fffff000f000f000fffff00000000000fffff000f0f0f000f0f0f),
+		.INIT_1F(256'h0000000000000000000fffff0000000000000000000fffff000f0f0f000f0f0f),
+		.INIT_20(256'h00000000000f0fff000f0f0f000fff0f00000000000fffff000f0f0f000f0f0f),
+		.INIT_21(256'h00000000000fffff000f0f0f000f0f0f00000000000fffff000f0f0f000f0f0f),
+		.INIT_22(256'h00000000000fffff00000f0000000fff00000000000fffff000f0f0f000f0f0f),
+		.INIT_23(256'h00000000000fff0f000f0f0f000f0fff00000000000fffff000f0f0f000f0f0f),
+		.INIT_24(256'h00000000000fff0f000f0f0f000fffff00000000000fffff000f0f0f000f0f0f),
+		.INIT_25(256'h00000000000000ff00000f0f000ff00f00000000000fffff000f0f0f000f0f0f),
+		.INIT_26(256'h00000000000fffff000f0f0f000fffff00000000000fffff000f0f0f000f0f0f),
+		.INIT_27(256'h00000000000fffff000f0f0f000f0fff00000000000fffff000f0f0f000f0f0f),
+		.INIT_28(256'h00000000000fffff000f000f000fffff00000000000fffff00000f0000000fff),
+		.INIT_29(256'h0000000000000000000fffff0000000000000000000fffff00000f0000000fff),
+		.INIT_2A(256'h00000000000f0fff000f0f0f000fff0f00000000000fffff00000f0000000fff),
+		.INIT_2B(256'h00000000000fffff000f0f0f000f0f0f00000000000fffff00000f0000000fff),
+		.INIT_2C(256'h00000000000fffff00000f0000000fff00000000000fffff00000f0000000fff),
+		.INIT_2D(256'h00000000000fff0f000f0f0f000f0fff00000000000fffff00000f0000000fff),
+		.INIT_2E(256'h00000000000fff0f000f0f0f000fffff00000000000fffff00000f0000000fff),
+		.INIT_2F(256'h00000000000000ff00000f0f000ff00f00000000000fffff00000f0000000fff),
+		.INIT_30(256'h00000000000fffff000f0f0f000fffff00000000000fffff00000f0000000fff),
+		.INIT_31(256'h00000000000fffff000f0f0f000f0fff00000000000fffff00000f0000000fff),
+		.INIT_32(256'h00000000000fffff000f000f000fffff00000000000fff0f000f0f0f000f0fff),
+		.INIT_33(256'h0000000000000000000fffff0000000000000000000fff0f000f0f0f000f0fff),
+		.INIT_34(256'h00000000000f0fff000f0f0f000fff0f00000000000fff0f000f0f0f000f0fff),
+		.INIT_35(256'h00000000000fffff000f0f0f000f0f0f00000000000fff0f000f0f0f000f0fff)
+   ) RAMB16_S4_S4_inst (
+      //.DOA(DOA),    	// Port A 4-bit Data Output
+      .DOB(rd_data),    // Port B 4-bit Data Output
+      .ADDRA(wr_addr),  // Port A 12-bit Address Input
+      .ADDRB(rd_addr),  // Port B 12-bit Address Input
+      .CLKA(wr_clk),    // Port A Clock
+      .CLKB(rd_clk),    // Port B Clock
+      .DIA(wr_data),    // Port A 4-bit Data Input
+      //.DIB(DIB),     	// Port B 4-bit Data Input
+      .ENA(1),      		// Port A RAM Enable Input
+      .ENB(1),      		// Port B RAM Enable Input
+      .SSRA(0),    		// Port A Synchronous Set/Reset Input
+      .SSRB(0),    		// Port B Synchronous Set/Reset Input
+      .WEA(wr_en),      // Port A Write Enable Input
+      .WEB(0)      		// Port B Write Enable Input
+   );
+
+endmodule

Copied: projects/FPGAMatrix/verilog/s3astarter.ucf (from rev 381, user/kintel/FPGAMatrix/fpga/s3astarter.ucf)
===================================================================
--- projects/FPGAMatrix/verilog/s3astarter.ucf	                        (rev 0)
+++ projects/FPGAMatrix/verilog/s3astarter.ucf	2008-04-25 23:59:57 UTC (rev 430)
@@ -0,0 +1,505 @@
+##############################################################################
+## Copyright (c) 2006, 2007 Xilinx, Inc.
+## This design is confidential and proprietary of Xilinx, All Rights Reserved.
+##############################################################################
+##   ____  ____
+##  /   /\/   /
+## /___/  \  /   Vendor:        Xilinx
+## \   \   \/    Version:       1.0.1
+##  \   \        Filename:      starter_kit_constraints.ucf
+##  /   /        Date Created:  December 25, 2006
+## /___/   /\    Last Modified: April 1, 2007
+## \   \  /  \
+##  \___\/\___\
+##
+## Devices:   Spartan-3 Generation FPGA
+## Purpose:   Complete constraint file for Spartan-3A(N) Starter Kit
+## Contact:   crabill at xilinx.com
+## Reference: None
+##
+## Revision History:
+##   Rev 1.0.0 - (crabill) Created December 25, 2006 for PCB revision C.
+##   Rev 1.0.1 - (crabill) Modified April 1, 2007 to mention revision D
+##                         of the PCB and applicability to Spartan-3AN.
+##
+##############################################################################
+##
+## LIMITED WARRANTY AND DISCLAIMER. These designs are provided to you "as is".
+## Xilinx and its licensors make and you receive no warranties or conditions,
+## express, implied, statutory or otherwise, and Xilinx specifically disclaims
+## any implied warranties of merchantability, non-infringement, or fitness for
+## a particular purpose. Xilinx does not warrant that the functions contained
+## in these designs will meet your requirements, or that the operation of
+## these designs will be uninterrupted or error free, or that defects in the
+## designs will be corrected. Furthermore, Xilinx does not warrant or make any
+## representations regarding use or the results of the use of the designs in
+## terms of correctness, accuracy, reliability, or otherwise.
+##
+## LIMITATION OF LIABILITY. In no event will Xilinx or its licensors be liable
+## for any loss of data, lost profits, cost or procurement of substitute goods
+## or services, or for any special, incidental, consequential, or indirect
+## damages arising from the use or operation of the designs or accompanying
+## documentation, however caused and on any theory of liability. This
+## limitation will apply even if Xilinx has been advised of the possibility
+## of such damage. This limitation shall apply not-withstanding the failure
+## of the essential purpose of any limited remedies herein.
+##
+##############################################################################
+## Copyright (c) 2006, 2007 Xilinx, Inc.
+## This design is confidential and proprietary of Xilinx, All Rights Reserved.
+##############################################################################
+
+# On this board, VCCAUX is 3.3 volts.
+
+CONFIG VCCAUX = "3.3" ;
+
+# Configure SUSPEND mode options.
+ 
+CONFIG ENABLE_SUSPEND = "FILTERED" ;
+
+# FILTERED is appropriate for use with the switch on this board. Other allowed
+# settings are NO or UNFILTERED.  If set NO, the AWAKE pin becomes general I/O.
+# Please read the FPGA User Guide for more information.
+
+# Configure POST_CRC options.
+
+CONFIG POST_CRC = "DISABLE" ;
+
+# DISABLE the post-configuration CRC checking so INIT_B is available for
+# general I/O after configuration is done.  On this board, INIT_B is used
+# after configuration to control the Platform Flash device.  Other allowed
+# settings are ENABLE.  Please read the FPGA User Guide for more information.
+
+##############################################################################
+# These are sample constraints for the three clock inputs.  You will need
+# to change these constraints to suit your application.  Please read the
+# FPGA Development System Reference Guide for more information on expressing
+# timing constraints for your design.
+##############################################################################
+#
+
+NET "clk50m"       LOC = "E12"  | IOSTANDARD = LVCMOS33 | PERIOD = 20.000 ;
+
+#
+#NET "CLK_50M"       LOC = "E12"  | IOSTANDARD = LVCMOS33 | PERIOD = 20.000 ;
+#OFFSET = IN  10.000 VALID 20.000 BEFORE "CLK_50M" ;
+#OFFSET = OUT 20.000 AFTER "CLK_50M" ;
+#
+#NET "CLK_AUX"       LOC = "V12"  | IOSTANDARD = LVCMOS33 | PERIOD = 20.000 ;
+#OFFSET = IN  10.000 VALID 20.000 BEFORE "CLK_AUX" ;
+#OFFSET = OUT 20.000 AFTER "CLK_AUX" ;
+#
+#NET "CLK_SMA"       LOC = "U12"  | IOSTANDARD = LVCMOS33 | PERIOD = 20.000 ;
+#OFFSET = IN  10.000 VALID 20.000 BEFORE "CLK_SMA" ;
+#OFFSET = OUT 20.000 AFTER "CLK_SMA" ;
+#
+###############################################################################
+## Discrete Indicators (LED)
+###############################################################################
+#
+
+NET "wait_alarm"		LOC = "R20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+
+#NET "LED<0>"        LOC = "R20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LED<1>"        LOC = "T19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LED<2>"        LOC = "U20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LED<3>"        LOC = "U19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LED<4>"        LOC = "V19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LED<5>"        LOC = "V20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+NET "ledAA"        LOC = "Y22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+NET "ledBB"        LOC = "W21"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+###############################################################################
+## Character Display (LCD)
+###############################################################################
+#
+#NET "LCD_DB<0>"     LOC = "Y13"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LCD_DB<1>"     LOC = "AB18" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LCD_DB<2>"     LOC = "AB17" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LCD_DB<3>"     LOC = "AB12" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LCD_DB<4>"     LOC = "AA12" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LCD_DB<5>"     LOC = "Y16"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LCD_DB<6>"     LOC = "AB16" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LCD_DB<7>"     LOC = "Y15"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LCD_E"         LOC = "AB4"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LCD_RS"        LOC = "Y14"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "LCD_RW"        LOC = "W13"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+###############################################################################
+## Stereo Audio Output (AUD)
+###############################################################################
+#
+#NET "AUD_L"         LOC = "Y10"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "AUD_R"         LOC = "V10"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+###############################################################################
+## Video Output Port (VGA)
+###############################################################################
+#
+#NET "VGA_B<0>"      LOC = "C7"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "VGA_B<1>"      LOC = "D7"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "VGA_B<2>"      LOC = "B9"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "VGA_B<3>"      LOC = "C9"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "VGA_G<0>"      LOC = "C5"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "VGA_G<1>"      LOC = "D5"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "VGA_G<2>"      LOC = "C6"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "VGA_G<3>"      LOC = "D6"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "VGA_R<0>"      LOC = "A3"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "VGA_R<1>"      LOC = "B3"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "VGA_R<2>"      LOC = "B8"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "VGA_R<3>"      LOC = "C8"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "VGA_HSYNC"     LOC = "C11"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "VGA_VSYNC"     LOC = "B11"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#
+###############################################################################
+## Hirose Expansion Connector (FX2)
+###############################################################################
+#
+#NET "test1"    LOC = "C18"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "test2"    LOC = "A19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "test2"    LOC = "B19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+
+#NET "FX2_IO<1>"     LOC = "A13"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<2>"     LOC = "B13"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<3>"     LOC = "A14"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<4>"     LOC = "B15"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<5>"     LOC = "A15"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<6>"     LOC = "A16"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<7>"     LOC = "A17"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<8>"     LOC = "B17"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<9>"     LOC = "A18"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<10>"    LOC = "C18"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<11>"    LOC = "A19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<12>"    LOC = "B19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<13>"    LOC = "A20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<14>"    LOC = "B20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<15>"    LOC = "C19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<16>"    LOC = "D19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<17>"    LOC = "D18"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<18>"    LOC = "E17"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<19>"    LOC = "D20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<20>"    LOC = "D21"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<21>"    LOC = "D22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<22>"    LOC = "E22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<23>"    LOC = "F18"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<24>"    LOC = "F19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<25>"    LOC = "F20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<26>"    LOC = "E20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<27>"    LOC = "G20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<28>"    LOC = "G19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<29>"    LOC = "H19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<30>"    LOC = "J18"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<31>"    LOC = "K18"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<32>"    LOC = "K17"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<33>"    LOC = "K19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<34>"    LOC = "K20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<35>"    LOC = "L19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<36>"    LOC = "L18"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<37>"    LOC = "M20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<38>"    LOC = "M18"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<39>"    LOC = "L20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_IO<40>"    LOC = "P20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_CLKIN"     LOC = "M22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_CLKIO"     LOC = "L21"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FX2_CLKOUT"    LOC = "L22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+###############################################################################
+## Accessory Headers (J18, J19, J20)
+###############################################################################
+#
+
+NET "leds_clock"		LOC = "AB21" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+NET "leds_latch"		LOC = "AA19" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+NET "strobe_data"		LOC = "AB19" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+
+NET "spi_clk"			LOC = "W17"  | IOSTANDARD = LVCMOS33 ;
+# NET "spi_miso"		LOC = "V17"  | IOSTANDARD = LVCMOS33 ;
+NET "spi_mosi"			LOC = "W18"  | IOSTANDARD = LVCMOS33 ;
+NET "spi_cs"			LOC = "Y18"  | IOSTANDARD = LVCMOS33 ;
+
+NET "seg_data<3>"		LOC = "V14"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+NET "seg_data<2>"		LOC = "V15"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+NET "seg_data<1>"		LOC = "W16"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+NET "seg_data<0>"		LOC = "V16"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+
+#NET "J18_IO<1>"     LOC = "AA21" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "J18_IO<2>"     LOC = "AB21" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "J18_IO<3>"     LOC = "AA19" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "J18_IO<4>"     LOC = "AB19" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+#NET "J19_IO<1>"     LOC = "Y18"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "J19_IO<2>"     LOC = "W18"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "J19_IO<3>"     LOC = "V17"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "J19_IO<4>"     LOC = "W17"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+#NET "J20_IO<1>"     LOC = "V14"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "J20_IO<2>"     LOC = "V15"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "J20_IO<3>"     LOC = "W16"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "J20_IO<4>"     LOC = "V16"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+###############################################################################
+## Mouse and/or Keyboard Connector (PS2)
+###############################################################################
+#
+## Primary connection, simply plug device into connector.
+#NET "PS2_CLK1"      LOC = "W12"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "PS2_DATA1"     LOC = "V11"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+## Secondary connection, use requires a splitter cable.
+#NET "PS2_CLK2"      LOC = "U11"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "PS2_DATA2"     LOC = "Y12"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+###############################################################################
+## High-Speed LVDS Receiver Connector (RX)
+###############################################################################
+#
+#NET "RX_CLK_N"      LOC = "A11"  | IOSTANDARD = LVDS_33 ;
+#NET "RX_CLK_P"      LOC = "A12"  | IOSTANDARD = LVDS_33 ;
+#NET "RX_N<0>"       LOC = "B4"   | IOSTANDARD = LVDS_33 ;
+#NET "RX_P<0>"       LOC = "A4"   | IOSTANDARD = LVDS_33 ;
+#NET "RX_N<1>"       LOC = "A5"   | IOSTANDARD = LVDS_33 ;
+#NET "RX_P<1>"       LOC = "B6"   | IOSTANDARD = LVDS_33 ;
+#NET "RX_N<2>"       LOC = "A6"   | IOSTANDARD = LVDS_33 ;
+#NET "RX_P<2>"       LOC = "A7"   | IOSTANDARD = LVDS_33 ;
+#NET "RX_N<3>"       LOC = "A8"   | IOSTANDARD = LVDS_33 ;
+#NET "RX_P<3>"       LOC = "A9"   | IOSTANDARD = LVDS_33 ;
+#NET "RX_N<4>"       LOC = "C10"  | IOSTANDARD = LVDS_33 ;
+#NET "RX_P<4>"       LOC = "A10"  | IOSTANDARD = LVDS_33 ;
+#
+###############################################################################
+## High-Speed LVDS Transmitter Connector (TX)
+###############################################################################
+#
+#NET "TX_CLK_N"      LOC = "AB10" | IOSTANDARD = LVDS_33 ;
+#NET "TX_CLK_P"      LOC = "AA10" | IOSTANDARD = LVDS_33 ;
+#NET "TX_N<0>"       LOC = "AA3"  | IOSTANDARD = LVDS_33 ;
+#NET "TX_P<0>"       LOC = "AB2"  | IOSTANDARD = LVDS_33 ;
+#NET "TX_N<1>"       LOC = "AA4"  | IOSTANDARD = LVDS_33 ;
+#NET "TX_P<1>"       LOC = "AB3"  | IOSTANDARD = LVDS_33 ;
+#NET "TX_N<2>"       LOC = "AB6"  | IOSTANDARD = LVDS_33 ;
+#NET "TX_P<2>"       LOC = "AA6"  | IOSTANDARD = LVDS_33 ;
+#NET "TX_N<3>"       LOC = "AB7"  | IOSTANDARD = LVDS_33 ;
+#NET "TX_P<3>"       LOC = "Y7"   | IOSTANDARD = LVDS_33 ;
+#NET "TX_N<4>"       LOC = "AB8"  | IOSTANDARD = LVDS_33 ;
+#NET "TX_P<4>"       LOC = "AA8"  | IOSTANDARD = LVDS_33 ;
+#
+###############################################################################
+## Directional Push-Buttons (BTN)
+###############################################################################
+#
+NET "rst"      LOC = "T16"  | IOSTANDARD = LVCMOS33 | PULLDOWN ;
+#
+#NET "BTN_EAST"      LOC = "T16"  | IOSTANDARD = LVCMOS33 | PULLDOWN ;
+#NET "BTN_NORTH"     LOC = "T14"  | IOSTANDARD = LVCMOS33 | PULLDOWN ;
+#NET "BTN_SOUTH"     LOC = "T15"  | IOSTANDARD = LVCMOS33 | PULLDOWN ;
+#NET "BTN_WEST"      LOC = "U15"  | IOSTANDARD = LVCMOS33 | PULLDOWN ;
+#
+###############################################################################
+## Rotary Knob (ROT)
+###############################################################################
+#
+#NET "ROT_CENTER"    LOC = "R13"  | IOSTANDARD = LVCMOS33 | PULLDOWN ;
+#NET "ROT_A"         LOC = "T13"  | IOSTANDARD = LVCMOS33 | PULLUP ;
+#NET "ROT_B"         LOC = "R14"  | IOSTANDARD = LVCMOS33 | PULLUP ;
+#
+###############################################################################
+## Mechanical Switches (SW)
+#########################g######################################################
+#
+#NET "SW<0>"         LOC = "V8"   | IOSTANDARD = LVCMOS33 ;
+#NET "SW<1>"         LOC = "U10"  | IOSTANDARD = LVCMOS33 ;
+#NET "SW<2>"         LOC = "U8"   | IOSTANDARD = LVCMOS33 ;
+#NET "SW<3>"         LOC = "T9"   | IOSTANDARD = LVCMOS33 ;
+#
+###############################################################################
+## Serial Ports (RS232)
+###############################################################################
+#
+#NET "RS232_DCE_RXD" LOC = "E16"  | IOSTANDARD = LVCMOS33 ;
+#NET "RS232_DCE_TXD" LOC = "F15"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+#NET "RS232_DTE_RXD" LOC = "F16"  | IOSTANDARD = LVCMOS33 ;
+#NET "RS232_DTE_TXD" LOC = "E15"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+###############################################################################
+## Regulator I2C Control (REG)
+###############################################################################
+#
+## Controls VCCINT, VCCAUX, and VCCO_012 supply rails.
+#NET "REG1_SCL"      LOC = "E13"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "REG1_SDA"      LOC = "D13"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+## Controls SDRAM, TERM, and VREF supply rails.
+#NET "REG2_SCL"      LOC = "D11"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "REG2_SDA"      LOC = "F13"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+# 
+###############################################################################
+## 10/100 Ethernet (E)
+###############################################################################
+#
+#NET "E_TX_CLK"      LOC = "E11"  | IOSTANDARD = LVCMOS33 | PERIOD = 40.000 ;
+#OFFSET = IN   5.000 VALID 10.000 BEFORE "E_TX_CLK" ;
+#OFFSET = OUT 10.000 AFTER "E_TX_CLK" ;
+#
+#NET "E_RX_CLK"      LOC = "C12"  | IOSTANDARD = LVCMOS33 | PERIOD = 40.000 ;
+#OFFSET = IN   5.000 VALID 10.000 BEFORE "E_RX_CLK" ;
+#OFFSET = OUT 10.000 AFTER "E_RX_CLK" ;
+#
+#NET "E_NRST"        LOC = "D15"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "E_MDC"         LOC = "D10"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "E_MDIO"        LOC = "E10"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+#NET "E_TXD<0>"      LOC = "F8"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "E_TXD<1>"      LOC = "E7"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "E_TXD<2>"      LOC = "E6"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "E_TXD<3>"      LOC = "F7"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#NET "E_TX_EN"       LOC = "D8"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ;
+#
+#NET "E_RXD<0>"      LOC = "G7"   | IOSTANDARD = LVCMOS33 | PULLUP ;
+#NET "E_RXD<1>"      LOC = "G8"   | IOSTANDARD = LVCMOS33 | PULLUP ;
+#NET "E_RXD<2>"      LOC = "G9"   | IOSTANDARD = LVCMOS33 | PULLUP ;
+#NET "E_RXD<3>"      LOC = "H9"   | IOSTANDARD = LVCMOS33 | PULLUP ;
+#NET "E_RX_DV"       LOC = "H10"  | IOSTANDARD = LVCMOS33 ;
+#
+#NET "E_RX_ERR"      LOC = "G10"  | IOSTANDARD = LVCMOS33 ;
+#NET "E_NINT"        LOC = "B2"   | IOSTANDARD = LVCMOS33 | PULLUP ;
+#NET "E_CRS"         LOC = "H12"  | IOSTANDARD = LVCMOS33 | PULLDOWN ;
+#NET "E_COL"         LOC = "G12"  | IOSTANDARD = LVCMOS33 | PULLDOWN ;
+#
+###############################################################################
+## Serial Peripheral System
+###############################################################################
+#
+#NET "SPI_SCK"       LOC = "AA20" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+#NET "SPI_MOSI"      LOC = "AB14" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "SPI_MISO"      LOC = "AB20" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "AMP_OUT"       LOC = "T7"   | IOSTANDARD = LVCMOS33 ; # Private MISO for AMP
+#NET "DAC_OUT"       LOC = "V7"   | IOSTANDARD = LVCMOS33 ; # Private MISO for DAC
+#NET "ADC_OUT"       LOC = "D16"  | IOSTANDARD = LVCMOS33 ; # Private MISO for ADC
+#
+#NET "SPI_SS_B"      LOC = "Y4"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "ALT_SS_B"      LOC = "Y5"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "FPGA_INIT_B"   LOC = "V13"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "AMP_CS"        LOC = "W6"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "DAC_CS"        LOC = "W7"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "AD_CONV"       LOC = "Y6"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+#NET "AMP_SHDN"      LOC = "W15"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "DAC_CLR"       LOC = "AB13" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "ST_SPI_WP"     LOC = "C13"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "DATAFLASH_WP"  LOC = "C14"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "DATAFLASH_RST" LOC = "C15"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#
+###############################################################################
+## Parallel Flash (NF)
+###############################################################################
+#
+#NET "NF_CE"         LOC = "W20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_BYTE"       LOC = "Y21"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_OE"         LOC = "W19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_RP"         LOC = "R22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_WE"         LOC = "AA22" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_WP"         LOC = "E14"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_STS"        LOC = "P22"  | IOSTANDARD = LVCMOS33 ;
+#
+#NET "NF_A<1>"       LOC = "T18"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<2>"       LOC = "R19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<3>"       LOC = "P18"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<4>"       LOC = "N22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<5>"       LOC = "N21"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<6>"       LOC = "N20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<7>"       LOC = "N19"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<8>"       LOC = "N18"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<9>"       LOC = "N17"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<10>"      LOC = "K22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<11>"      LOC = "J22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<12>"      LOC = "J21"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<13>"      LOC = "J20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<14>"      LOC = "H22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<15>"      LOC = "G22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<16>"      LOC = "H21"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<17>"      LOC = "H20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<18>"      LOC = "F22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<19>"      LOC = "F21"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<20>"      LOC = "C22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_A<21>"      LOC = "C21"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+# 
+## Note: NF_D<0> pin is shared with SPI_MISO pin which was previously declared.
+#NET "NF_D<1>"       LOC = "Y17"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_D<2>"       LOC = "AA17" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_D<3>"       LOC = "U13"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_D<4>"       LOC = "AB11" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_D<5>"       LOC = "Y11"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_D<6>"       LOC = "AB9"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_D<7>"       LOC = "Y9"   | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_D<8>"       LOC = "T20"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_D<9>"       LOC = "W22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_D<10>"      LOC = "V22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_D<11>"      LOC = "U21"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_D<12>"      LOC = "U22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_D<13>"      LOC = "T22"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_D<14>"      LOC = "R21"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+#NET "NF_D<15>"      LOC = "T17"  | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW ;
+## Note: NF_D<15> becomes NF_A<0> when NF_BYTE is asserted (for 8-bit mode).
+# 
+###############################################################################
+## DDR2 SDRAM Device (SD)
+###############################################################################
+#
+#NET "SD_A<0>"       LOC = "R2"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<1>"       LOC = "T4"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<2>"       LOC = "R1"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<3>"       LOC = "U3"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<4>"       LOC = "U2"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<5>"       LOC = "U4"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<6>"       LOC = "U1"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<7>"       LOC = "Y1"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<8>"       LOC = "W1"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<9>"       LOC = "W2"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<10>"      LOC = "T3"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<11>"      LOC = "V1"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<12>"      LOC = "Y2"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<13>"      LOC = "V3"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<14>"      LOC = "V4"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_A<15>"      LOC = "W3"   | IOSTANDARD = SSTL18_I ;
+#
+#NET "SD_BA<0>"      LOC = "P3"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_BA<1>"      LOC = "R3"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_BA<2>"      LOC = "P5"   | IOSTANDARD = SSTL18_I ;
+#
+#NET "SD_RAS"        LOC = "M3"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_CAS"        LOC = "M4"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_CK_N"       LOC = "M2"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_CK_P"       LOC = "M1"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_CKE"        LOC = "N3"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_ODT"        LOC = "P1"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_CS"         LOC = "M5"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_WE"         LOC = "N4"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_LDM"        LOC = "J3"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_LDQS_N"     LOC = "K2"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_LDQS_P"     LOC = "K3"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_LOOP_IN"    LOC = "H4"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_LOOP_OUT"   LOC = "H3"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_UDM"        LOC = "E3"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_UDQS_N"     LOC = "J5"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_UDQS_P"     LOC = "K6"   | IOSTANDARD = SSTL18_I ;
+#
+#NET "SD_DQ<0>"      LOC = "H1"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<1>"      LOC = "K5"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<2>"      LOC = "K1"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<3>"      LOC = "L3"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<4>"      LOC = "L5"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<5>"      LOC = "L1"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<6>"      LOC = "K4"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<7>"      LOC = "H2"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<8>"      LOC = "F2"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<9>"      LOC = "G4"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<10>"     LOC = "G1"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<11>"     LOC = "H6"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<12>"     LOC = "H5"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<13>"     LOC = "F1"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<14>"     LOC = "G3"   | IOSTANDARD = SSTL18_I ;
+#NET "SD_DQ<15>"     LOC = "F3"   | IOSTANDARD = SSTL18_I ;
+
+##############################################################################
\ No newline at end of file

Copied: projects/FPGAMatrix/verilog/shiftinterface.v (from rev 381, user/kintel/FPGAMatrix/fpga/shiftinterface.v)
===================================================================
--- projects/FPGAMatrix/verilog/shiftinterface.v	                        (rev 0)
+++ projects/FPGAMatrix/verilog/shiftinterface.v	2008-04-25 23:59:57 UTC (rev 430)
@@ -0,0 +1,30 @@
+`timescale 1ns / 1ps
+//////////////////////////////////////////////////////////////////////////////////
+//
+// Company: Metalab (www.metalab.com)
+// Engineer: Clifford Wolf (www.clifford.at)
+// 
+// Create Date:    18:06:29 07/29/2007 
+// Design Name:    MetaLEDS Control FPGA
+// Module Name:    shiftinterface
+// Project Name:   MetaLEDS
+// Target Devices: Xilinx Spartan 3 Family
+//
+//////////////////////////////////////////////////////////////////////////////////
+module shiftinterface #(parameter segbits = 8) (
+	input [segbits-1:0] par_data,
+	output ser_data,
+	input nextword,
+	input clk);
+	
+	reg [segbits-1:0] buffer;
+
+	always @(posedge clk)
+		if (nextword)
+			buffer <= par_data;
+		else
+			buffer <= { 1'b0, buffer[segbits-1:1] };
+	
+	assign ser_data = buffer[0];
+
+endmodule

Copied: projects/FPGAMatrix/verilog/top.v (from rev 381, user/kintel/FPGAMatrix/fpga/top.v)
===================================================================
--- projects/FPGAMatrix/verilog/top.v	                        (rev 0)
+++ projects/FPGAMatrix/verilog/top.v	2008-04-25 23:59:57 UTC (rev 430)
@@ -0,0 +1,307 @@
+`timescale 1ns / 1ps
+//////////////////////////////////////////////////////////////////////////////////
+//
+// Company: Metalab (www.metalab.com)
+// Engineer: Clifford Wolf (www.clifford.at)
+// 
+// Create Date:    20:21:52 07/28/2007 
+// Design Name:    MetaLEDS Control FPGA
+// Module Name:    top 
+// Project Name:   MetaLEDS
+// Target Devices: Xilinx Spartan 3 Family
+//
+//////////////////////////////////////////////////////////////////////////////////
+
+//module ledtest #(parameter ledAValue = 1, parameter ledBValue = 1) (output ledA, output ledB);
+//  assign ledA = ledAValue;
+//  assign ledB = ledBValue;
+//endmodule
+
+
+module top(clk50m, rst, spi_mosi, spi_clk, spi_cs, leds_clock, leds_latch, strobe_data, seg_data, wait_alarm, ledAA, ledBB);
+
+	parameter SEGBITS = 72;
+	parameter SEGMENTS = 4;
+	parameter CLKDIV = 3;
+
+	input clk50m;
+	input rst;
+	
+	input spi_mosi;
+	input spi_clk;
+	input spi_cs;
+
+	output leds_clock;
+	output leds_latch;
+	
+	output strobe_data;
+	output [SEGMENTS-1:0] seg_data;
+	
+	output wait_alarm;
+
+   output ledAA, ledBB;
+	
+	assign ledAA = 1;
+	assign ledBB = 0;
+//   output test1, test2;
+
+	//ledtest #(.ledAValue(0), .ledBValue(1)) myledtest(ledA, ledB);
+//	ledtest myledtest(ledA, ledB);
+ //  assign test1 = 1;
+//   assign test2 = 1;
+
+
+	/**** CLOCK ****/
+	
+	reg [CLKDIV:0] clk_div;
+	wire clk;
+
+//   always @(posedge ROT_A)
+//	  clkdiv 
+	
+	always @(posedge clk50m)
+		clk_div <= rst ? 0 : clk_div + 1;
+
+	BUFG bufg_clk (
+		.O(clk),
+		.I(clk_div[CLKDIV])
+	);
+
+
+	/**** FRAME BUFFER MEMORY ****/
+
+	wire [11:0] fb_wr_addr;
+	wire [3:0] fb_wr_data;
+	wire fb_wr_clk;
+	wire fb_wr_en;
+
+	wire [11:0] fb_rd_addr;
+	wire [3:0] fb_rd_data;
+	wire fb_rd_clk;
+	
+	framebuffer fb(
+		.wr_addr(fb_wr_addr),
+		.wr_data(fb_wr_data),
+		.wr_clk(fb_wr_clk),
+		.wr_en(fb_wr_en),
+		.rd_addr(fb_rd_addr),
+		.rd_data(fb_rd_data),
+		.rd_clk(fb_rd_clk)
+	);
+
+
+	/**** SPI INTERFACE ****/
+	
+	reg [11:0] spi_addr;
+	reg [3:0] spi_data;
+	
+	reg [4:0] spi_state;
+	reg spi_fb_clk;
+
+	always @(posedge spi_clk or posedge spi_cs)
+		if (spi_cs)
+			begin
+				spi_state <= 0;
+				spi_fb_clk <= 0;
+			end
+		else
+			begin
+				if (spi_state < 16)
+					begin
+						if (spi_state < 12)
+							spi_addr[spi_state] = spi_mosi;
+						if (spi_state == 15)
+							spi_addr = spi_addr - 1;
+						spi_state <= spi_state + 1;
+					end
+				else
+				if (spi_state < 24)
+					begin
+						if (spi_state >= 20)
+							spi_data[spi_state - 20] <= spi_mosi;
+						if (spi_state == 16)
+							spi_addr = spi_addr + 1;
+						spi_state <= spi_state == 23 ? 16 : spi_state + 1;
+					end
+				spi_fb_clk <= spi_state == 23 ? 1 : 0;
+			end
+	
+	assign fb_wr_addr = spi_addr;
+	assign fb_wr_data = spi_data;
+	assign fb_wr_clk = spi_fb_clk;
+	assign fb_wr_en = 1;
+
+	/**** MAIN STATE MACHINE ****/
+	
+	integer state;
+	reg nextword;
+	reg clk_shifter;
+	
+	reg [7:0] bitcounter;
+	
+	reg [4:0] step; // FIXME: Initialize to 0?
+	reg [2:0] strobe;
+	
+	reg leds_clock;
+	reg leds_latch;
+	reg wait_alarm;
+	
+	// this is ment to by an SR-Latch
+	// ..so do not worry about the XST warning
+	reg nextframe_ready;
+	reg nextframe_ready_set;
+	reg nextframe_ready_reset;
+	
+	reg [SEGMENTS*SEGBITS-1:0] nextframe_data;
+	
+	parameter STATE_LOADWORD_0 = 0;
+	parameter STATE_LOADWORD_1 = 1;
+	parameter STATE_SHIFTDATA_0 = 2;
+	parameter STATE_SHIFTDATA_1 = 3;
+	parameter STATE_LATCHDATA_0 = 4;
+	parameter STATE_WAITDATA_0 = 5;
+	
+	always @(nextframe_ready_set, nextframe_ready_reset)
+		nextframe_ready <=
+			nextframe_ready_set ? 1 : 
+			nextframe_ready_reset ? 0 :
+			nextframe_ready;
+	
+	always @(posedge clk)
+		if (rst)
+			begin
+				clk_shifter <= 0;
+				leds_clock <= 0;
+				leds_latch <= 0;
+				nextword <= 1;
+				nextframe_ready_reset <= 0;
+				state <= STATE_LOADWORD_0;
+				wait_alarm <= 0;
+				strobe <= 0;
+				step <= 0;
+			end
+		else
+		case (state)
+			STATE_LOADWORD_0:
+				begin
+					clk_shifter <= 0;
+					leds_clock <= 0;
+					leds_latch <= 0;
+					nextword <= 1;
+					nextframe_ready_reset <= 0;
+					state <= STATE_LOADWORD_1;
+				end
+			STATE_LOADWORD_1:
+				begin
+					clk_shifter <= 1;
+					leds_clock <= 0;
+					leds_latch <= 0;
+					nextword <= 1;
+					bitcounter = 0; // FIXME: Why not parallel? bitcounter should always be 0 here..
+					nextframe_ready_reset <= 0;
+					state <= STATE_SHIFTDATA_0;
+				end
+			STATE_SHIFTDATA_0:
+				begin
+					clk_shifter <= 0;
+					leds_clock <= 1;
+					leds_latch <= 0;
+					nextword <= 0;
+					if (bitcounter == 0)
+						if (step == 18)
+							begin
+								strobe <= strobe+1;
+								step <= 0;
+							end
+						else
+							step <= step+1;
+					nextframe_ready_reset <= bitcounter == 1;
+					bitcounter = bitcounter + 1;
+					state <= (bitcounter==SEGBITS) ? STATE_LATCHDATA_0 : STATE_SHIFTDATA_1;
+				end
+			STATE_SHIFTDATA_1:
+				begin
+					clk_shifter <= 1;
+					leds_clock <= 0;
+					leds_latch <= 0;
+					nextword <= 0;
+					nextframe_ready_reset <= 0;
+					state <= STATE_SHIFTDATA_0;
+				end
+			STATE_LATCHDATA_0:
+				begin
+					clk_shifter <= 0;
+					leds_clock <= 0;
+					leds_latch <= 1;
+					nextword <= 0;
+					nextframe_ready_reset <= 0;
+					wait_alarm <= nextframe_ready ? 0 : 1;
+					state <= nextframe_ready ? STATE_LOADWORD_0 : STATE_WAITDATA_0;
+				end
+			STATE_WAITDATA_0:
+				begin
+					clk_shifter <= 0;
+					leds_clock <= 0;
+					leds_latch <= 0;
+					nextword <= 0;
+					nextframe_ready_reset <= 0;
+					state <= nextframe_ready ? STATE_LOADWORD_0 : STATE_WAITDATA_0;
+					wait_alarm <= 1;
+				end
+		endcase
+	
+	
+	/**** DATA PREFETCH CORE ****/
+	
+	reg [8:0] bitcount;
+	
+	reg pipeline_stage2_en;
+	reg [8:0] pipeline_stage2_bitcount;
+	
+	always @(posedge clk50m)
+		if (nextframe_ready == 0)
+			begin
+				pipeline_stage2_en <= 1;
+				pipeline_stage2_bitcount <= bitcount;
+				nextframe_ready_set <= bitcount == (SEGMENTS*SEGBITS-1);
+				bitcount <= bitcount + 1;
+			end
+		else
+			begin
+				pipeline_stage2_en <= 0;
+				nextframe_ready_set <= 0;
+				bitcount <= 0;
+			end
+
+	always @(posedge clk50m)
+		if (pipeline_stage2_en)
+			nextframe_data[pipeline_stage2_bitcount] <= fb_rd_data > step;
+
+	assign fb_rd_addr = { bitcount, strobe[2:0] };
+	assign fb_rd_clk = clk50m;
+	
+	
+	/**** THE SHIFTERS ****/
+	
+	genvar i;
+	
+	generate
+		for (i=0; i<SEGMENTS; i=i+1)
+			begin: segshifters
+				shiftinterface #(.segbits(SEGBITS)) segshifter(
+					.par_data(nextframe_data[i*SEGBITS+SEGBITS-1:i*SEGBITS]),
+					.ser_data(seg_data[i]),
+					.nextword(nextword),
+					.clk(clk_shifter)
+				);
+			end
+	endgenerate
+
+	shiftinterface #(.segbits(SEGBITS)) strobeshifter(
+		.par_data(step[4] ? 0 : (100'b1 << strobe) << (SEGBITS-8)),
+		.ser_data(strobe_data),
+		.nextword(nextword),
+		.clk(clk_shifter)
+	);
+
+endmodule

Copied: projects/FPGAMatrix/verilog/top_guide.ncd (from rev 381, user/kintel/FPGAMatrix/fpga/top_guide.ncd)
===================================================================
--- projects/FPGAMatrix/verilog/top_guide.ncd	                        (rev 0)
+++ projects/FPGAMatrix/verilog/top_guide.ncd	2008-04-25 23:59:57 UTC (rev 430)
@@ -0,0 +1,3 @@
+XILINX-XDB 0.1 STUB 0.1 ASCII
+XILINX-XDM V1.4
+###4596:XlxV32DM    3fff    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###4104:XlxV32DM    3fff     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###2192:XlxV32DM    3ff3     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###2036:XlxV32DM    3fee     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###1404:XlxV32DM    3ff1     564eNqVm9tqVEEUBf9I5+xbn0HJj4gMiSYqIohjwM93AqJ4wanyLbBZu7tXpQhOcr5/d37/4eHr/Zfzq8PrZ+efXz6/e3x4uP9y+vT47XD597LyZos3L854vm7irRp38e3iW8aPix8Zv1z8kvG7i99l/NHFHy/xd2L+Rh3Gnb0P6uyXcRe/ufhNxoeLDxmfLl4qoZ0S2lbrlNBSCe2U0FIJ7ZTQUgntlNBSCe2U0FIJrZQgix2nhJFKGKeEkUoYp4SRShinhJFKGKeEkUoYp4Sx5DgljFTCOCWMVMI4JYxUwjgljFTCKCXIl19OCUsqYTklrM09zVJPs1z4rsJ3F35U4U/E5G/j2z/GX166rOtT22XsDo2dPt+++XjaUOjGdgfbHWp3st3Fdpfa3Wz3sN2jdi+2e2e7d7X7AjAgklERjMhQRAbczYgMRWQwIoMRGYrIYEQGIzIUkcGIDEZkKCKDEXmp+5ZMic3J8E2FbzJ8k+Gb7kIM32T4psI3Gb7J8E2FbzJ8k+GbCt9k+LJmihFZishiRBYjshSRBe/NiCxFZDEiixFZishiRBYjshSRxYgsJFT14s3wbYVvM3yb4dsK32b4NsO33WMyfJvh2wrfZvg2w7cVvs3wZa8zjMhRRA4jchiRo4gcRuQwIkcROfDNGZGjiBxG5DAiRxE5jMhBQlW3XgzfpfB9+r8dcJ2FpnZ06V/PDSIvrx1Xp04HMkT2nbYDmmJZgaYSTRWaajQ1aGqhqR1NHUlB6LkCFRSooGAbUUGBCgpUUKCCAhUUqKBABaGHSFRQooISFZTsXKigRAUlKihRQYkKSlQQumKhggoVVKigQgUVOz0qqFBBhQoqVFChgtDhGxXUqKBGBTUqqFFBze6ICmpUUKOCGhWEjjWooEEFDSpoUEGDChpU0LCXQAUNKmhQQWjhQgWtjSzcydAR/GT+84PAw58fG16Z3sz49tdnwdfGXfzm4jcZHy4+ZHy6+JTx5eJLxreLbxk/Ln5k/HLxS8bvLn6X8UcX//evh/x/XilBflOFU0JIJYRTQtjTOyWEVEI4JYRUQjglhFRCOCWEVEI4JYRUQjglhFRCOCWEVEI4JYRUQiglSOrTKSGlEtIpIaUS0ikh7eM4JaRUQjolpFRCOiWkVEI6JaRUQjolpFRCOiWkVEI6JaRUQiolSCzLKaGkEsopoaQSyimhpBLKKaHs2zsllFRCOSWUVEI5JZRUQjkllFRCOSWUVEI5JZRUQiklSG7aKaGlEtopoaUS2imhpRLaKaGlEtopoW21TgktldBOCS2V0E4JLZXQTgktldBOCS2V0EoJsthxShiphHFKGKmEcUoYqYRxShiphHFKGKmEcUoYS45Two+/PvsOmbEINw==###1468:XlxV32DM    3fe7     5a4eNqVm+FqXWUQRd9Ic2bPzLkXS19E5NLUREUEaSz4+N7+qWirWSv/AsN853x7ZRFys1+efnr5+ZfnP54+vHx//PDNy+dvv338+Pz89OH228c/H+5fb/Z8Wz9+9yLGj3ov5i9u/UWuv7r11/v6RzH/Vj2Me/bzQT37fdytP9z6w13Nqa7mdMsvavnFLb+q5Z+IyT/G6yvjb+5Z9utTx33sEY3dfn/3/tfbgZYe7OxiZ5c6O+zsZme3OnvY2cvOXnX2yc6+sLMv6uw7wIBIRkUxIksRWfBsRmQpIosRWYzIUkQWI7IYkaWILEZkMSJLEVmMyHvc78iUODkM3yh8w/ANwzfuhRi+YfhG4RuGbxi+UfiG4RuGbxS+YfiyZJoR2YrIZkQ2I7IVkQ3fmxHZishmRDYjshWRzYhsRmQrIpsR2Uio6saH4TsK32H4DsN3FL7D8B2G77jLZPgOw3cUvsPwHYbvKHyH4ctuZxmRq4hcRuQyIlcRuYzIZUSuInLhnTMiVxG5jMhlRK4ichmRi4Sq3vpk+J4K309/2wGvc6KpC3rpv68brLzfdr06dXsgQ+S82/GAptiuQlNBU42mBk0tmjrR1AVNXUlA6LoKBVQooGInooAKBVQooEIBFQqoUECFAkIXERRQUEBBAYU9FwooKKCggIICCgooKCD0io0CahRQo4AaBdTs6VFAjQJqFFCjgBoFhB5+UECDAhoU0KCABgU07B1RQIMCGhTQoIDQYy0KaFFAiwJaFNCigBYFtOwmUECLAloUEDrwRAGdBznwQoau4Dfzzx8EPvz7Y8NXpg8zfnzxWfBr42794dYfcn259SXXx62PXN9ufcv149aPXL9u/cr1p1t/yvUXt/4i11/d+i//PeT/55US5A9VOSWUVEI5JZR9eqeEkkoop4SSSiinhJJKKKeEkkoop4SSSiinhJJKKKeEkkoop4SSSiilBEl9nBIilRCnhEglxCkh9nKcEiKVEKeESCXEKSFSCXFKiFRCnBIilRCnhEglxCkhUglRSpBYtlNCSyW0U0JLJbRTQksltFNC27t3SmiphHZKaKmEdkpoqYR2SmiphHZKaKmEdkpoqYRWSpDcjFPCSCWMU8JIJYxTwkgljFPCSCWMU8LYaJ0SRiphnBJGKmGcEkYqYZwSRiphnBJGKmGUEmSw65SwUgnrlLBSCeuUsFIJ65SwUgnrlLBSCeuUsJYcp4SVSlinhJVKWKeElUpYp4SVSlilBHnzp1PCKZVwOiV8pX1Wqn1Wrn1Wqn1Wrn1Wqn1Wrn0W1D4La59Ftc/C2mdh7bOo9llY+yysfRbVPgtrn4W1z6LaZ2Hts7D2WVT7LKx9FtQ+C2ufRbXPwtpnYe2zqPZZWPssrH0W1T4La5+Ftc+i2mdh7bOw9llU+yysfRbUPotpn4W1z6LaZ2Hts/x3++wvvXAUZQ==###2152:XlxV32DM    3ffb     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###3392:XlxV32DM    3fff     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###3568:XlxV32DM    3fff     dd8eNrdm82y5KYOgF8mD2ADAtyu2ecppso/UJVNZpHlVN49/EgyuJs+tE/PublJVeIOMhIG6ztCwrfhNv8hvb3FK0w+Xn/AuuPV5esGeF3wuuJ1i9cVhAnXMfw7/PwNRnUDK+bfYFluUg7zD+FVvO3vVY1RvyrvU/OfME630bjQYYTbKKykrmL+Q3iZRoYqfsDg8kjNhCrFeFYJpNLfq5RdKuNTQqlSZ5WwHyr5AVVUFecjSNYbTMscGza0seM1T6SEMdsYB7BnI27+HQRa8vmfY1JGMmfJXIcVENNpXSZFepa4LpKeOCqQ5X04jtEO1GF7NHV5Yf+SPhoSP7/FFQ7S+bsUIrSHxxxQECY1jDsI3JYFJgvSTGSJhyABYbMgvlRRFeqUQZbfH5nNhHULsrD8+abwCPFHuCm9EcnkmG76LtUU2zdxjDEs9pzGFOYqD8ei5tgpClNTlKJqmca2HKpj07c4wyjb+Un3YDZMQfCW+e+wCLsISxDm0t7Wn3kuIU5qmGaxjMH5hEiTKkWeXCl9vipsV9n5wlPk/3cbv2x2mmPDjgKH1+zF0g94HXO7R4W4imG+j7dWREVeo8Dc3oEFlZ7sobun9/mSu8uWuydFF9w9LPQDf+9xxbPnT52ev33S89Gcm7sQsJ4RwLMzFQgAOXSiwp1RwQsg7hdgbUMjqPJN6qge6mRPT04riAIO8aMQPxNT5syflSVbyZ/80qJSZIwk7Z4ZI8nZZckYfJeoN3JkIY44ZAW+AxL7420b3eYZNxtZEYSblWRyPEaQZWEFCUVEumAxo2jdU7uidn9ClG4jSlxF1L+aTKpJJrhKJmiSyVwmk/skmbqApIcvBdLeCSTRB6QErsdA0i8CKfnUYyDZPiBJcmuFyEhOWQJpZ+y4E5A8SfRQA0kdShFIQNpHBhKQa8MJSK7ojaRxSBomBr4DEvvn20IT3jbeA0kRkPZ7IAEBSTCQNMqCxQpIltrHE5CWNpDkJ2Mm+66YafglZNJNMk1XyWSaZFqvkkmL98RMti9m0uo9iNr6EOU6ESU7ESWaiFpeRZRsImrrQxSQo2uCiKgRpUcGkagRpSVLVI0ofShFRNHmTUpGlCFAmBpRWhS9kT2C2CMORDEHDd+m6DZ5jyhNiHL3iDKEKO6309ZOihpRpE/KE6JcG1Hqv40o20TUfhVRUxNR/jKi9Nciyn4ponwnolQnolQTUe5VREELUdPQhyhDjm4JIuqEKGAQ6ROiOK2kbY0oeyhFRE2kHRhRlMYKP2pE6aI3skcTe9SBKDYy8W2URZJwjyhLiPL3iJoIUYoR5QhFqkKUo8yThBpR254RpW/THaLgP7mtG1pkmi4mnEK0rL5iDwb6GT3GSb5r+6VEFzhUyhs9BML0cp5nawJB9gFhIrcaKBOznrZVHJmAOm2rGBWgayBwvnYgIGzIAVx0tEi7Joo1OAeDq0bKUbqRuyp5GMiurHhDtO0kWytX3jy1b7UrO04ij+PZl6fxs75cZZPdOQ75VNyBmvz8PjeH9CxVuYnfo7EsN+mxhQNtLgYqupl/1tPVQGUXrXrTxPszJZtcqbLP+zluOfm/0u4eNGiMHwDEx8EJNqzzU9iYPtiYpQUbbV+EjWmWsvTSBRva5MQfZfnI0992zRWinXYpZqEKlaXYRWNiN0443sSpEkVpD01lJGVQJr0/zGTWJeVHSS2whqCzV/uz/LrTCBPRNGWuzX6gjbZhOu6LtshDnZ5vrdTuUa1LPbi4p11J0CCwVZeoyyWMKeRi9NQ8AC0PaA5VrzjDzucn06WhOAJv0tCWsj128DYFPpTnDlNUBUSUDDOnUpzTTygq3rZpw4ZlPsdIKDBzL05rigbQE0WrKMrW4L3O1amrjA9q7eRvM8uu16v8bWbZ9X6x3G+GJn73eyK2d4dV/b8kIgrSqjOHjWhy2D3h8MnYfoa+m09Wt9pqs3qnt1dB26zeadcDWnAEIlNWo/6KTortVfVKLUxGTFHHOUS08gmBhdLdWtFNlMY2lAdStH1MmgrEc4ZJU6ZdE78Mlf5AbcS2ldjGOzu1kIz2lpp2b8rTrs8MJfWUp1DQiBJugYaiFDANj9JlFjDBC1AbUYGa/2Rk2wXaYSoFDPAD7VlQ/I2RxxThzJQl18hY+4Sx8ldFqst8Yqy9ylhxZuxwZuz4KcbunYz1nYxt1gu0v8rYZr3AjFcZq1qMtcwdgJdD3OVMO1vTDlqMPRKVh9UWY93Z6na2uldWbbMgaYYXGWubBUkj+hhL/m3HmrHk3rYqyKmV3dsQPgHxaakKqFaqFWpNN9He2A7MWA4zTc1YXXTOjKVSgaVqJlCIGL0AOWqYsY5kO8k0GeV6ooE6gBzL9oOxw7KUggKlVI3NgiNr4Gl3YFRF8d2U7QVhF1sKCsLCXgoKwsIxQTgvw4mwyxPCqredKLuLYo/o9SpqqzC2zP1VWYJPZgeSI3YQFmQnYZvlDiOvErZZ7jBwlbC6SVj3hLB3R9fuotgjen2KWtNELf8ZAtVKK+x3qcsqvXDOYdhmPdWoVwnbrKca3UdYCsasrAlLZLGiJizlMvVE8DQET8nw5B13VYdQK8PTUmfKO1rBnW1xUyYsRaNWMmHxKFj0AqQon2QFQTJKQWhLyh1TS1f842kwpiRsQWRTB7EHeU1NXs6/JMFB3oOwukVYXRNWj6WgIKw5JgjnRZwIuz0hLHySsMv8UTD7TsI2otoCte/IHAB0Mtd0MndpMvdy5nZtMne6ylzbzBwM99BrfyjQCm8/Yu7UTCHoV5jbinPX57kE2ywZm1eTtrZZMjZLH4UJSxZqCtOm26qawjshYCWQTgRS4DiXDumGtxFvomKu5W29JvBFTWWcuxSdM4UpX2CBKUzfOgDFwJoP+FJtKfoWypb7OHeq4lxXtT+Mc6cTbU0pOGjLGwdjG3GubVHYnigMpaCg8HRMEM6LOlFYUvna30FY/x9AWMwfpG/fDeG+9K3Szc8yzOW07NYk4fhx9PlpEuq9SUL4mIRu/iir+gEJTbNWbl7OqjZr5WbvKl+xg+qtdFDFWVW9Pw7QUvujAC0Jyq0xlIKiHMQISKYZAcpVQzrqPVy1z4ICDSuhgb7rMFW9H4DyrMB51iP8pbSppu83DNX11eoOxVido68uDIFXbePxfCjjmr7j4LSu2zv6ssKc6/Z4SnC6uTPDlP63nBL8FWdxANY+GknXPLOjLtJI+laoN13dXqvm9toNH38T2nWEUA9xxnVpY8NhA8dzkj9E0OXbgouaVf0AyO2gx3INo4npbGInExxXy5VMmAsmwIuv/JoE9r7zjekkwuMzSPq1L1ylK8vxWuFmMq5emKT5W5zj+COwKn3P6ujUnqp2oeApx+mrYs06+ENhmNWsMP74Hv8T4UcFKKCAUnJlSxLgpEP4ScqgKkMUlJTblL4MWYHPYcfOiY4Kswvp4dKXsuCwcxoexFFt6TEpxZpGm9vzY7JS/Oo2tmSs8qEHAHeMKB+IAD4sodWhmPtFxka8egwRl/sQUZn/+be1v+CEo2qfcLyyMf4Hng9ZbA==###3588:XlxV32DM    3fff     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###4020:XlxV32DM    3fff     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###3320:XlxV32DM    3fff     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###3244:XlxV32DM    3fff     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###3152:XlxV32DM    3fff     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###2772:XlxV32DM    3fff     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###2980:XlxV32DM    3fff     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###2792:XlxV32DM    3fff     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###2912:XlxV32DM    3fff     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###2916:XlxV32DM    3fff     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###3036:XlxV32DM    3fff     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###2796:XlxV32DM    3fff     ad4eNrlm8uO2zgQRX9mPoDiU7KQXwkgsiggm8lilo38+1ASH1VuVbcfdNKYWQR2rm1SlHT63iIpsywXpdz8Q6n1Ii7pVavj1Qzb609jDt3Y4Xid9v//8n7d3pj0T7z9ZQZ9MWOc/zbDdFExzEkxl0GaJb3buxjnH3L16dvpE38xU5w3ITTB7wLsncg17p3ng/j1jzXbT9XbN+/1JR3inN6o/c13pU36gh+2X8i3b0EMl9RR0tNRpB+mzpEeNh227+uAddj0uOlyOPRteEc769a+3Ydd9a2d1e7tLFjf2lld0oMQrf00jPk48vRm+43Pv0lSOr/zdxP2Meip6Kro2xj8Gpt+tJU629tKl8G49GE66/ri345zbcS8XxA56HQdpTyup8zXtV7nrGtznOq98/T/2K7JOM6bAE2YdiE2YdmF9WhiFfn1uFXUmrtYc9eraZd72H642vyBax/I/YMR32w/jYf8etwX6Xzl1yW/+vy6Hfzsw6Cu701b7k35/t7UV/fmeH1vTvXezMIyv79Jvd+P0uJew9GrXKD0mljLvdpHoPPhugsoXcTaRR3YI1yHYWC5Vp9zDfXcXZ2yOH/MNRSuwznX6ZIirp1QWG9cmwnr77nehoe53u+0qjeud6yq3rgeZGs/cw2FazMWToFwbWzRA+Y6DKLpe1tbZ4VrDSzXYnmU64JzofheeF/JrDAss9MHzF7fbqdgWg5Mr3qBaTgwve4EplAsmMsHYD7Ioy08GsZnDfHZILCOfHbF+gmPQhEe9/NYdeSzgHXks7q1n3m01Werb1rqs6HohvAoZNMPHoVqPrvwPjv04vFVrjquXVzVsYSKOwj92ENX1kN9L1Qj66Ghl4caFtXhCQ/1nzC7FmYj46GOeOgwYR15aMD6mYcazKwTEevIQxesIw+1rf3M7Fo9tGbjlXpozcaReqhuevZQU5m1I8fsMK1PZuNlftZM3zFLWO1tr3Li4AX3eSRe5lt8NowsvL18NjgW3l4+Kx0HL4z3wPuusL3NedNJPChOQz2lOF1JTLEesd4otgvWTyiWjlCsHdYbxXbEeqNYon4PircjPyi2hdYkYYqtK7ojFEvb9INi6ZrzCpbiNNLOFa57vsJ9aUhmKY7ugcLW3VjYslz7oZcps1x72Ss/s1zHsX9+LhR7hmJBKLZgsY68WGL9LD8Tim3wWEdeLLCO8vPY2s9eXCk2ZS7MU4pLpt/GRvKzbXrOz4hivp4d5J+uZwmiLn/wbFr2rOHGJ+vZMLA+O/XyWcH67NIrJE+sz6438Eg4/HTeOJ2y7KqCycaeuKpcsY54JPpZNp6Iq0qNdcQjYB1l46W1n111qDyWejZJJBuXejaNjWTjsek5G08tG3vWVd30LI/EO7tk45dEYmAJNTcQSiyTRXVhUe1lnWFiUe1lndKzqNrXzQmnc5eZnZgkDDQJL1hHSRiwfpaEPU3CE9ZREvZYR0k4tPYzs0tNwpXNhSbhsm6UxkaSMNJzEvaVWcfXszo8mYSnmYWXROMvR7FaOYpD+DwSTzOLM8nI11yD4rieelkwSI7rqZcFK+C4DvA6rkFlrtMIT7lWK+HaKKw3rt2C9ROuFRCua5ZWQLh2I9Yb1wodz8E1qMK1KxUuKMK1KxVuGhvmWoWmH1wraNlY82s90G2eilvDfZhr+dLZZsFyHfU9E1bcGu45196zfr32KnVZv/aiV6nL+nW09+zNuHP+2Zeal/FrQbi2EbCOMrbF+lnNS/zaRqKjjK2xjmpedDy55vU1Y5tSw3qasevaEPVrsTQ917zNr63ga171VdaMxNX8c7br7otHLM4Qei0eBdaUF9crbLOmvIy96mLWlOEGUw7Xf/hgvo/iUNw5MO48UHfWCusodUusn1XK1J3VgnWUugXWUaWMjien7urOtsxcBerOpXrfxkYq5dD0XCk3d7aRT93/yZ0YamBRVc/OXAU2Nvey1+BZQnvZq2S3PoLuPpMcQuHRM2l5oDwGrKO0LLB+VgWvtAr2WEc8RqyjtIzazzyGymPlLtAqGIruaRUcm56r4Lbj0fEzV9r/P3ZiaHZ/Y1h6mSkYFtVeZgqarXB7malmdzMG/7qdGGBKhavPmdV0N2NJnYeOmAWsnzCrB1rhaqyjCtdjvTGrZWs/V7imVri1YjW0wi2JFzRhVoumH8zqtptxnPidGPELruH+3r0Zht36GIbXrepC5AAfe3kxAAt4Ly827K7IIH/Dbg2IhXQ4J90YSjrRG+mjx/oJ6UZR0gHrjfRxwnojvf5lMGWfJMRC+liJjoT0sawfARDSay0Meb5766ymZcOSHtyfSstMqfuqrVYszvDsTubA7mReTK/8zJryYnvlZ9aUwT9Q4d69w6rsbQ6MO0vKrLZYRxWuw/pZoqburDXWUaI2WEfrSrq1nxN13dts644pure5VN3b2EiiFk3Pibq5sxtYZlX3Z4j6rSu9m7mSL5m5UjzOj7jzjQtMgXXnqdfDRIF3514PEynWnUE+QHq8dy6ruHNg3FldkU50lMMV1s9Wmqg716cVFHVnR76Pamfd2s+kV3d2lWjqzq4STd1Zyabnlabmzo6fyzKx9wry63L4i0jX7AMOYXxgKfnWHO5Y0nt5OliW9F6ertlHHsL0QA6/l3RwJYdbpuKmzz7U5/s0ffZhFFg/q7jpsw91rUrTZx9cxDqquG1rP+dwV0kvs2TgKOlllgwsrbh103PF3Z59GANLupdf97lg+XtWowy7j9qvr3tAOAq24u7l6bBypI8nnv4vXmfwfQ==###2864:XlxV32DM    3fff     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###3120:XlxV32DM    3fff     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###2188:XlxV32DM    3fff     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###2052:XlxV32DM    3fff     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###1744:XlxV32DM    3fff     6b8eNrdm0uOHCkURTfjBfCNgEx5K5b4Sj3pHnhYyr2biMDFuxJvxqDFwEY+VQ4q84iCeyPjE49aXuIl/Uu+zNcPG8JLW/H+YaV5Wff+RyvVvnyN+hl1fUbTubHX+J82/vl3SX3MfSx9rM/3VdFH+fDar1P79avt49HHs4/uHq1/rmNj7mN5xmT7GPoY+3j/PNGb63rXH/HVX1x+/2vb6z789WrtSyod3s8boE/6QvsPZuVzQftwe0j6A31+B3m9A+rr5zVV++73r/aeNe7NSbiujbe/Pr8P4EY3buzFbyGdt9nev9preH8+8RQnZ8qIjUzdb+zUlFhtqn2FmjoU4TNTFx+mHiGdgykpOFNOb2TqTIwpe6w21aaips5M+MzUxYmpW0jn1NThM2dKxriPKqcEoyrWxaquqYgqpyThE1U3J7/+fB4cVIWDVSU2WlVOR06VW62qTUVV6UT4TNXFiarbSOeoqnKqyk6LynJnirh6p7qmoqbsSfjMlMUzxS2kczAVHWdK6Y0OFe5UjKqwfFG1qaiqUxM+U3Vxouo20jmoSpJVFeVGqlxmVPnlW1WbiqpyhfCZqosTVUkODqoqu1WVnTKV4kydy0/qCkx5VQifnf8UmqrH4GiK3ams3yn9ei5TueXp12P6DYRP069HU3VwTL/sTmXkTunXcJmqLk+/BtOvJXyafg2mXzc4pl92ozriTum3cqaWr6k2FTXlBOHT9Fsx/crB0VTgTPmdTPnAmDLL15QPYMpHwmemLk5NhcGxpyh8T5F36ik0F6nS8p5CY09hCJ/2FBp7ijI4hl+2pi1pp5qicKbO5TVFwZqiEj6tKQqGX8Ix/LI1bT52qim4w19YHqisx5oiED6tKfDwF8XgaMqz2becO9UUllO1fFG1qaCmOAif1hQWVZHrYE2heFUbRSrnuUbdL9+pPDbqXhI+U+WxUU9qcAy/7E6VzUbHP82ZOlabuqaixz8tCZ8d/zSaqoRj+GV3KpN3qim4G4qHWV5T4A1Fkwmf1hR4Q1GIwdEUu1O1/7hRTXFy4Xf5mmpTQU3hCJ/WFCeaItfB8MtuVH6nNeW4Ox929ZHimgpqCk34zJRTGH7V4GgqcqbiTnW65+p0s3xNeazT/2bZm09rioym4uCYfdmStuzUUhjuI0rRrj77GfiIkjOK8NnZz0jMvm5wTFRsSZt3ClSWO1GEuDz7Jsy+mfBp9k0YqOTgaCrwgcrtlH0dp2r5ompTQfalfJp9HaoKg2P21ayqvFX25VpaX5ZnX2xpvSF8mn2xpU16cFSVWFWpbKQqcDWtX76qAta03585CkxNG7CmTWlwjFTsVmXKTuGXu594LP80rcH7iVYQPg2/eD9RyMHRFLtVmbpT+OXuJ9qyPPzi/cQjEj4Nv3g/UYTBMVKxO5VTO4Vfrk+3dnn4tRh+D8Kn4ddipNKDoyl2o/I7fUIpcCWtWb6mApa0QRI+MxUEmkqDgyllWVM7PZ8TuX3KLN+nIu5TSRA+MxVxn1J2cKwp2JI2b1VTcJ8li2Z5TWGwprCET2sKgzUFuQ6GX7akDTs982G5NRXy8poC1pQ7BOHTmqJi9lWDo6nIZ9+dPk1xco/nhOWL6sTHc85E+LSmwMdzYhwcs6/hVdmdagru+Ry/fFV5fD7Hn4RPawp8PieZwVFV5su/nbaqyDXqfvmqitiof/9Ci0yjHrFRT3lwDL/sVmXD/9TUHyXBp1w=###1768:XlxV32DM    3fff     6d0eNrdm0mO3DgQRS/TB6A4MxN9FQOcBHjTvfCyUHdvKiWb8YGIRQNccWHT9VwlpuqBon6E9Hr/60p7qdc19nus7hnzM5ZnrNdYktNj9OOP+vrLHfblYnv/44708u49gHsd2uTxr5xfxoT3T2PO6wd/Gmvu0R33Ad3NnT/uMX2+/v6Vx7HUS3/9fU01vvv9w2gzeHKGcHMOPv76/uVtINyawa0bPCg9+Zjt/WOcw/v7uwR1ndKY5XjZr/uDOvW+T6aOD6j1/UH184H/nMDD7f0bMjbdX/f6jO0Z+zOe9/ed6hnvEzXnc5zzOf7pntE/Y3jGSH8x/9+Ub4Ip11abGlNRU74Tzpm6ODVVJgdT41MLpqLbyFSMkim72tSYipqKlHOmLk5MfYQ8HE01yVTyG5nKRjBll6+pMRU1lS3hnKmLU1NtcjClvWQqpI1MVWmfssv3qYr7VDWEc6Yq7lMfIQ+npnwxkimb9zEVvWQq18WmrqmIqegN4YypD5+mbiEPR1NVMqVb2EhV6JKqY7WqMRVVFU7COVUXp6rq5KDqc4qCKruRqpQEVSmtVjWmoqpSJpxTdXGi6nMmD0dVXVJ17HT9K1YytXxRjamoqeII50xdnJrqk4OpFiRTZqO7v1hPwVQsq02NqaippgjnTF2cmGqEY/YVdyobN7r7C0pKVKuvftdU9O4vHIRzd38Xp9m3To6JStyo0k5VilgkU8fy7Fsw+1bC2exbMFG5ydGUuE+VnaoU2UuJqizPvh6zbyCczb4eTfXJMfuK+1TY6er3+X/W1PI1NaaC7OsJZ7Ovw+xLOJgySjLlzUamurRPmeVrquM+1Q/COVMd9ymjJsfsK9ZondupSiGtqZyXVykcVik84WyVwmH0tZOjKbFGq82xkap4SKrMalVjKqoqasI5VRenqtrkGH3FIq3uW1UpqpR9lyeqMRVUKRrhbJWiYvb1k6OqU1ZVdypTBEnV8lU1poIyRSScLVMEVHVOjmWKKBaUdjLVpIJ6XL5VNSyoN0M4Z6phQb3FybFMIW5Vru9UppDaiW757V/AdmKwhLNlCmwnqjY5hl9xpyphpzKF1PlwZnmZomOZ4iScLVN0DL9+cjQlblRF71SmkBofNi8vUyQsU2TC2TJFQlPn5FimEPeptlWZQnrsxS5fUxUfe6mUs2UKfOxFx8mxTHGIBaWdSn9d2qfM8jXVcZ/qlnC2TIH7lDkmx/ArFmn1qXYKv1I3Mevl4Re7idERzoZf7CaWPjkmqiC36HeqUySpnZiW36cnbCdmRTgbfrGdWAnHRKXk8HvuFH6zpGr5qioZw28hnA2/GSOVmhxVJVHVTnXaJtVpY1gefrFO2zzhbPjFOm0jx8EbdblLtVP4TVKZ1q1eU9dU9KYiacK5m4p04I064XijLl7+itopUklVWhuWR6qKkaoRzkaqijfqanI0JV79ctkpUklPU9jla6ri0xS1Es5GKnyaQpPjYKTS4tVvq86v9DSFWb6mOj5N0QPhbKTCpymMnhxNie9RjXPZxlRW0j6lz8WmrqmIqfz7DbYPZ0x9ODVVJsfwK/eoylbhV+pRZbU8/GKPKkbC2fCLPapyTo7hN8qJaidVWWpSJb9aVcYmVTaEc6oyNqlqnBwTlVj80znuFH6lF0nT8lVVGobfTjgbfhtGqmNyVJVFVVt1fqXeR1z+0kfDlz5aJpwNv/jSB/1+DL/i9S/ancKvVKZ1ann4tRh+fz/GnP4Dy9exAg==###2316:XlxV32DM    3fff     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###2780:XlxV32DM    3fff     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###2968:XlxV32DM    3fff     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###3124:XlxV32DM    3fff     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###2740:XlxV32DM    3fff     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###2596:XlxV32DM    3fff     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###2340:XlxV32DM    3fff     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###1752:XlxV32DM    3fff     6c0eNrdW0uO6zgMvMwcQLYsS04wV3mAfgTeZnrxlo2++8iOEbECcmajlRbdSlc7lpOCWEWR+nLZPczj+eVyvMd0j/kcU9xsG/f2Y77/csv2cKE8/3HL8VhW+2yIO1/E9irGh7X++dtaOt/5217vbKNbXnd0L9zty2s8rr9//sR2M/NYv/8+52pXP3/ZduuGbxvDLTW8/fr5s2+e4Ztt+OYa7jfqeJvt+at9iOfPT/IutH+cj/zYvl8P6szz+jSLK+0J1/X1pOv9xO9PcOPb6zuy2/H6u+Z7LPdY75Fe15G5x9cntXTfh+77k7vH/R79PQb+zXy5VO6x3pz8D1eualwtaTRXbS7OlSOGS1ydOOPqouTGgatrRpmrECbiyh8qV8PXVZuLc+UjwyWuTpxxdd34xpGrqHIVaSKujk3jysTRXLW5OFeHY7jE1YlzrmLHgStvVa7MMRFXyahcmdFctbk4V2lhuMTViTOuLkpuHPXq0PUqTcTV9c3KMXD4umr/4VztK8Mlrk6c6xW7D8bAVderPJNeZZWrdbheZdSrwnBRrzLGwLXjyFXS9WomH3h4NQYew/XKo14Fhot65ZGr1HHUq03lqiwz6ZWaX5nh6yphfpU2hot6hfmVZ9cjV0Xlap2Jq6zlVzR8WWVMrzIxXKIqY3r1Dpn5I71yumX3+0zWQrXsyz7cWqBl3x3DRWuBlt3FjqNc6ZY9T5UKkxoC63BrQcBVMAwXrQWhXNmOI1dZ5Wqfyloklavh66rNBdYiM1y0Fgm5YtejXDk9Bs60bZF2latluLXY0Vp4hovWYke9ch1HrqrK1eIn4qpoqTANX1YFM+GyMlyiqmAmfDFy4xgCdceetomoCqtqLYbvMLW5QK4swyWuTpyHwK3jyJXu2Pc6k1ypFREThssVWvaDGC7KFVr2vXQcQ+Cuy9VMXCWtIkI0XK2wIJIiw0W1woLIxciNI1WkJ8LrTGqlZVc0fFUVTK6KY7ioVphceeo4UBX0mvDqJqKqaskVDRerirkVGYZLVFXMrULoOIqV7tePmTxgcKpYDY+AbS4wFjvDRWPhUKxcx5Gr//DrM4lVVEtXxo/mKqJhjyvDJa4iGva9dhzVyqtc2Zn2l5JWuqLh20sJK1epMFw0Fli58gxHtTI6VTMtq6JVrmj4qipYuCqB4aKxwMLVe+ewfBSugl6838xEVJGWBtfhYkWYBZNluEQVYRYc2H1QrHS7bmbaCIx6/9LwXrOIfj06hoti9dG/RB1HsdL9up2pcJVUvz68hTOhX8+G4aJYoV/3oeMYAfUWznWmjcASNarccLGKKFaJ4aJYRYyAS8eRKr0c7GZaVaSlVnX4qiLMrGhnuChWmFmF2HEMgLoFXGfyFVFvXhq+rCJ6wBgYLooVekBvOo5c6R7QzFQNzpoHpDK8IQY9YLYMFxti0AN6dh+MgHr/pptqy7ZoVG3DxaqgWFWGi2JVMAKuHUeq9PbNMFOb2fV+UayGr6o2FYgVx0WxCkhV6jgGQN1XmJn2K7LmKygPD4DoK/LOcDEAoq94H/rJH74i6F1mdiatqtrBEBreDFPxXEhdGC4WQvBcyLvKXz/OhQS9ySxMtbWkNZnV4auKsMeMMsPFAIg9ZoFdjwFQ16r26BMFQE2raPgJnoxalTkuBkDUKp86jqtK71uyM53gqdpJAxq+CVjxoEHdGC4GQDxoENj1SJXatrROZStIa1uqY1fVv8Ci1IM=###2644:XlxV32DM    3fff     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###2708:XlxV32DM    3fff     a7ceNrdmkuS3CgQhi/jAwhJCCjFXKUjeEZ4M1546fDdBwRKEkpZ1Q8c46iN1Z1CSiG+P/NH7ek2//pHyuk2B7O/LYHvv3+aWdymGrcpvsX46iYcdykuUtxIHPcpLmNcKl3iytwWzvY3blyKi1DH57jV++/fRumUmKnbfNO/vnGt49lp/8bZerPL/n2Z53g6HZd8XEI+riW+8nT8sawq/+5tObp4jLcxNy7VngK+BuQRCPnKMJUjy1eGcudQMgYOF6opXRi2GpiPgKi3DkdA1hEsBrjKueK7KEefj5aXoy5HU47HLOLLSTdKY6Zfxyvhctv/5fFtrUKnl8RvbOZhz+9tWffvcUmPp45LWB8hzT+uXQ3II5CfIS5GOsaVkK5P5ksyuZzJtvlMJs9k5Z5277L6PquBrCXg9po+ErhlQtKkM5neHqQJHE9k+kTU6iccT2R6n+LW4Xgi04djPCvxOM8r8nO8km89jt+Tn+NAfga55EXkK2nr+Ib844EuyVefJr9QqPdnEhAggaqJd2qhkwDrJFA0IVvVfFoCfqYkwNgDCZTUen+mBdFTKXcsCisoUTD1QBRdVtdn9X1Wi7VQmY+zx1rwHMdBC1U7RxxpYcVxpIVSjdP0LrVwxKsWgPkjXrXgOI5XLYDWYl6sBbvV8VgL0hhKC3OcyZ8Wg+zFIPYPNgb2rDGU1iHbTvFZVUhjKVVYOUIVsudTYFVIzShVOPa8VbinrcL26c1lq0ivoWkVGsdRq5hxHMkj4DiSR5FNmuelSTriSB4Gx5E8JhyvJgnGx7zYJGk0vmkVhjZJ7IvqUKCOThT6y6J44JYGakEZ0iQxdw9jrwUFMHYM6ocSUJZ0S0zcK/ADbukR+Ws4TY9pTFJtAKY1SdBIjLxuDKY1SaeC0vQQ+XyacRzIRw3DEY2hNUn4eZrGYOv4hnwnKfKlH0Z+Q/wYk0T0hbI/+GNuySlSC8vzvqB6KvXH3JKeFkoU0ztEcdcXzEdt00lvfA2XtumIX9imI36hjiOO1FHqdponUgf0lxy/V0eO36sjx6s6nKx5kTr0hO7f2CZLbiFm5//63fM7xPCV3bO05NbBbqN3z1KTGwUbPrF7fo7+9e45Tfpq95zjF5bIzteWyM6EJRKEJRLX3420uP5upJsdQya55G0s0VbHt7tnRzaG8KfI11/uBzMtgaGbZk+RPz2yRM/I14/3yo6s/ow/r/6elsDDon+SnCZ9aYmO+IUlOuJXe2XfFn0oym3RByvmrot+jl9YItcWfe9qXmyJ3FzHN+QHRZIvv2iJZG+JxFMJqIESILbKX/uGGjSpBfV8eyB7KsVTUajWEinSEn1NFNRW2bXqOCmNr+FSHUf8Qh1H/EIdR/xeHWme15ZIEZZIEZZINeo4YC95G0uE8mJ16APna0vE1Gh5PPis+tGvqX0b+Dzx2juK+OUdn0zlBz6ZItCF4gTofObv+FLa1/orc5NmdvW9J8crxGdpzvEKsWM4DhDD7jbN4crc5Pj9954cRxA39wGIM5MlL4JYqLWOxxAztq0nxVtHMbP8q/bmZPb/R5Wx45VtmBpbqBH2pGaRJzUbnmp5tEzJD85znG8MP1J6mceyNzncmQPc0GLOHOLjOX7GBSuIHjM61jKuUT6zwhl2nlnimY2nd7Gcl6zLXobEn97iAiYAmUdDYrYyJP30lv457s9R5viEiSKezywoczljC1+C5Evbl+JrJfnSw/haSL7MML4UrOXa8SXgzELxtQJfC8XXCnwtHV8SZW752lDmli9B1y+uXoovR/I1D+PLknwto/gSdS1dy5eo9ctSfDngy1J8OeDLtnwJjjI3fIkFZe7qlyb5UutL8bWRfMlhfHGSLzWsfllYy62rXxrOcIqvDfjiFF8b8MW7+mVQ5rZ+KZS5q190f1yXl+IrkHxNw/jyJF9sWP2qaxm6+lX7o6f4CsCXp/gKwJfv6pdEmdv6taHMLV+Sk3wx80p8eUnxtQ2rX15QfG3D6pcEirxs+ZLQv7wg+EqXZL7SkEu+4pDCVxzS8CU3lLnhS64oc9cfHcmXYC9Vv0i+xDasfpF8CTGsPwaoFR1fm4MzFF8O+HIUXw74ch1fm0eZ2/5oUeauP9L+a9YvVb8YWb/8sPo1kfUrDOuPsJaedf0R/JefqPrFoH5NVP1iUL+mrj8alLntjwpl7vqjpPvjS32f8Jrka1j98orka1j9kpUi3fVH8EdeUXxp4EtRfGngS3X9UaHMbX8UKHPLl6L91+xfia+wUHzxYfUrzBRffFj9UrCWYWn5UuC/wkzwFZaTrzATfIXl5CvMLV9qQ5kbvtSKMnf9kZF8ydfaPxrSf63D/BdZvwQf1h/rtybT9UcGZ6j6lS4p/ouqX86A/+rql5hR5tZ/BZS544v29/Nr9Ueyfm3D/j7kyfq1Dfv7kIC19F39EuDvPVW/PNQvT9UvD/XLd/VLeJS59V8WZe78l6H912v5e0vyNax+eUPyNax+yUqR7fxX9d+G4ssCX4biywJfpvNfFmVu/ZdGmTv/Rfv7+aW+fwVO+q9h9SuspP8aVr8UrGXgnf8Cfx9Wyn9x8F8r5b84+K+1818KZW79l0CZW76O/zZK+K+X+vtQ8CRfw+pXcCRfw+qXrhT5li9d/bej+PLAl6P48sCXa/nSAmVu+NIcZe7640zXL/d38/UfHgq9DA==###2856:XlxV32DM    3fff     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###2860:XlxV32DM    3fff     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###5980:XlxV32DM    3fff    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###6364:XlxV32DM    3fff    18c4eNpVm1kS7bYKRafkTt1NZTBqJ5DPV5n7s4DFcf4otYAQbLDc/9xz/vX3ebYJ1Y+X6kJdTuU/94qbCuGlglGh97/+/ecl7z/Hn/6/v2N8Z5yPzIjMbeWlllDVqe690/c46JV9p++bfF+lDufg+HFwvBwMOLidg+kcDOegOwfLOVjOwXQOlnMQnIMIB9flvETnJby8xBhfVi5jZbzKiOmRXXdf+PT1Yn1L5z2fviZs3HvzLJ33t3N4Z9rSH7Gq9OcR0p/73owfYUHFX1vxttPbmrfd3nY4Nbw3eNtvnLe9HISXrc1M7y8zN8yItoUSLe5eBsY98Ew+cvnIhADTRdG26OPib1zxccXHnT7u9HHNxzUfd/u428cdPu4Q9ZsapivE5gafG3yurxd8vfiTuC1XzXLVLFTz9vrAm4HRdRhdh2+vDXyt9x243oHncXEWi5N/F/9QQczmWNXbilPNqeGUr3KeTl1O+cpncsrXO3WPLcka+5BPONRruClXjl6gY+KAtolY7zjp7QnqtTTr3XLOAWVtfigz0Tt97pbdqe06/n6m6VcIuYjS+fJ8O8/Tec7O6XBOo3OanNPknEbntDun0TmdzqlTesSbU3ExynNwngM8D3iOnOV1c5YvJXJsczuDy7FcjoDulastkV9Po0QiNbeI5NuRGtWdWlDdud+S/05GZVtu5y8lulf7tLbgGrLL9KAWlTJvIosQ//7zhGD3Y4ujhi0mqSrYJmkqeHnixhW/ccWlTb8b515LfEd33xFMXG7clc3XbsrCwEvJycQzejzANM7rJuZsare104KeELslno9ZzXUfdrijfYglqw/z+DEsDQfGxK1LhV7VKcx97gfdtnlmlYgpBLto7bQ9hfhwPZzrYJQcnPI/YTs72xNqj1cBJgJEBIgvj+E4vgKImGIWW4B4nW/n4517o+vUUZsQVYfjtPu6qe9aSzv9vG5DBltui9pdV1W5O3IHl3u63NnlDsg9OLeAAqIroLsCXFyJ7eeO7cmYEHdzFzT91I8N7L5N6EXn9jzmNvZK8bvSwObsYHXajYHr2r7SYCXlaautstJ0g/AVEiv8TCPB5kD2AL+dXRa7KDbpwW9g8xvY/AYGP6jnUBAplJ3Uo6gKNDcczXVHc8PR3HA0NxzNDUdzAyAmTQ8ebwO8UJZy0LG7BwC0D9P8yz0Jbxt53TZlfqbsdXTt3xRru3+73NuBZHZRSR6QhjKW2U/v6ab0NG6/zrfj0jsb8nzJbWbFlx6+dPCloy/dfenIguo+78zcO396gdp3Uwu0TaZvEoGzyfeYvkdAH2IooxsilrbjT/Tlli+XODBfZLpSxcyHHrp47+Le+3bvfbv3Lu7mx+nWCPMK88AbjmIvHzjcbIeb7fULHNG39hgWwyd2Yt8946Z6/BqfqbXHTzdGf4FJtq1bd/Pb3aqfvCiAbpvdMmHwlOim3dVnd2Yf7XBhXX3hp77DZWjT1+m+znQuunv19rtfx6/7chHdKLcmpbudQ3s3Ifen7YguB/zM7USe17JLt9u/iR0u/q7VcrpcDDAIsVcv0WxQCGkhqBaCai6DWXa6JRguKsTHQkosxLbzcphhCiGzjkqLaThf5leFkJZo4UyI3VKB95V8JoN+a7DpQshg4lbFT1dy3IpJVXLYiopTM8YSR5+6xTghlNUKqzA/zRCEEMaI8JkAn2dhjIWLkk5TQjpR74N6UVRsHIofAbsX271MC/dC6MGZU6qC46YRqpZFy0IJjOmMQeSKyKkN1DJQS0QtnNeFbVw2Jt83SrjtarZrX9zzOjHOzTaRqSzzw/VEM6vTYuZak8H5itT5wUovi1y5BRtzmEnX0xSr9r/HgCozqDIHQxRCSEu2ACKEWPJlUVkIaYlW9hFCWop5NiFkncOkEEI0E7iGwfBMLicX09K4cqKfs2AMmb3gp0z2Qopot08IXTl9rry0dHbvFSlYJ9o6pZo2hJAWEGoBoeZncgTz6x86/mGPGVyNYXhePcbAY2y5cEpCyBjgiPqQfaEofQkhKy9OZ6GNbrYtxG6ph+WNQqjsnHLnlBcrL5d92O7V6heFJFIIaTnQz4F+1o0ZI+mBXIfJ1Q7TjxC7JU2zHyGEn8tQr3rCiCfseMIt12XYTIifbxz4xt1yWh4thMqOHZK4VjIeIdSiEhZl1lIfk6KCvXO1GyeEehWzukqNr2Y7dyGkJUTGRMZ0xnTG0EJGWEGhNT1fN95x4zLGtCqEtDQ7HSGkhdJFpQxQKW8KIS0LKRZSEMsqsawudl/sPuwWCCG+sZpFCSGnDD8JfhK7J3bXQNMJNGIbEdvAEoYBeyFkr9kZ07EfPMl1Mmswi6h9cd8vOFzY4XI7xEtcnPs0C9cwt9e5sZbbtLodvCCqxPVMXM9M0pfJ+TQmDmLiXvBcOD0LTxolO1FyzzobrhtHFBA5MCYHXHfAdeM83dQpKwqhxo8zLyiBqqwQujLuIuMugLJC6DqEieIc4hgDjrHgiAqOqLMX9TuN/p3oL64JbVQ/XLQ6ji9C6CAEmcUxVVaeRNjJOg2tNvZqOL2G0xuZEJABYGi+E7YmznPiPBeBbBnyqRhtxWjrdeGILloaLeiQUmKmAlcfLv6DOx1mokKoa8IRBRxR5gpnrjDlLoVJuyUCIchOKtZSsZZKoK8E+greVigll9q0IYSs03BEDUc0cUQTR9SQq+HQFlIQXCruouIu6sRVzgfnYGFUCAVyJ27nxBElWhItgxa0istNuNw0KnoGJ6/GfW84B8LfXb8QsQMRIxCxAxG3/ZDnF0uT34Smnf9JaCaYcYIZHSpOoGJXQpIexV8T/BXBXwP8lcBfA/yVwF8T/BXBXwP8lcBfA/yVwEQTTBTBRANMlMBEA0yUwEQTTBTBRANMRF4lWuwPYdzqBwphBhAmgVwCgMVTrgEYSUCPAfRIAI0B0EjcwsEtTNzCyVWD0Gt0k3aYXHrVBlctcY0G1yhxjQbXKHGNBtcocSEGFyJxIQYXImH+E6uH+Nn4wMYTFj2w6IT9DuzXbXNgmwnbHNjmLtk9XT5Eej6zsM2FbS7ymYWRLox0F//e+ZK/a66yyFUWgBtil7kKX33VFjKQdwF5M5B3AXkzcHZhFBk4u7CODHhdgNdMhFhEiEw8WMSDTDxYxIOM9194/4z3X3j/LEngLlAEU5kYJyCogLxEUz9A0WVa/k6LTAtMC0zb1eer2LQ9WkolUgTZqk4XJcl2VS3rPt0hpHtlkvIHf3bZxyDJL/eYhxxC0kqpBXeLCe29L7r/k6Oy/RALNqGO6bFLpUsnlk7CWvmyRuorIcRj9kOoJmrq/ABrAdYirE1UI9n4HbUg+xCdHtIjGS2KvH/679/RyK9WHDit7qPbd3Ri9GB0QklBrtGwayTKaYPMepFZJzLrRWad8MgLj5zxyAuPnMltF7ltIrdd5Lbufxf+N+N/F/43A0QXQDQBIBcAMgMgFwAyAw4X4DABDhfgMAHzFjAvA/MWMC8D4RYQLmk9ne91Z/AnIo9Xkp/fN67glcjgtf/QrfsZXpYP/s7j8bcfT1Vj1W8aWdfrn42tfO/PG057EPGuvA4CN+lOabZc5rNY5vOQllsm5ZaACUxMIBDKO6HcA/ckcHuZZGIUgVLBpFQQ8JsDvxmIoZMYGvCbHb/p6fskfQ/E0EkMDaTmndQ8kUBPEmhPlyfpciBhnSSsgfR0kp56WjlJKwNp5SStDCSInQQxkQ5O0sFAOthJBxMZ1SSjCuRPk/wpYOwTYw9kQpNMKGDsE2MPxIxOzCA/UAcLYJv9C+cncN7B+wRROHyewOcANphgAwe5E5AbAAATALA9TuEbgVikhoUbu50XdktJYBNqt4EabaBGe1EYu4gUBT2U8C34TQBnAF524KWDyYnrChS9JkWvQHQfRHdHgxM0COSTlWf6Fn4o6ugWpj2t5XRqOYnKzaRyE6ivTOorgWrKpJoSqJ1MaieB6sWkeuG1ikmtwusQkzpEIJWfpPKBxH2SuAe87MTLBtL0SZoe8LITLxtIcCcJLmVy1Q+bdjad3P1VvtlnJ/tMAOAOAHa4O4G7gTyykwhCKF7lgk/cwkLzi7r7qB90Kl6/LPtsJBYpdnsH7LY9ZreKOLtCz4+/pfK9Q4pa8sCSMx544IEztj2w7YxPJj5r0AskQYY4tQg9KEJnitCDInSmCD0oQnugHgTqTKAeBOoMJu5gYi8MD+5IxtsPvL2j5I63T9yjzj3ygu6goAsmFphyGHBTt5+p0Q5qtJl7tChYLuqCi5rWosgxKHJkbCni+gauL1OT4BuOtAAlKnUmNaqFUUXc48A9ZsxsYGaZysGgcpApGEQ858BzZooBg2JAJvVfGGck0R8k+pFEf5DoZ/Gpx39sc5ANdSX08+QJ+8nLtRSYHv/0clGo8jOkAstrCzXAiQEGzG1ibgHjmhhXIHfv5O6eqU8ydf9WMcGFgdSpYy9eeZ9U3r3OPqmzB2rfk9p3wHA6le5ErXlSaw7YVKfWnKjbTuq2XpOd1GS9FDspxXqZtVNmTRQoJwXKQDlyUo4MoNIJKg0UHyfFxwROneDUQAJIaU+V4Fip0dI+/vJXFZhUBQL5fcdaE4l+J9FP2CZFKFVC/RC/JH6SxOtXyVtTNDFNPULi2kHk5fFSux5PcZ7vtMi0yLTItMC0vVs97QmW+Gbx4A+Ied8E8+4d716sby9u8xLzLjz/bdmWPVRcvKXdt8qeDmZ/MKgg/ij2NEXflfJUg5eZvCxcjWdKFgGe5VnCQZJ9HtnC0kO1V/bi3Uft3155pFVIP1b59dmz26CHv99dNX3wIo+s9L3X8tc/lUdWjUdW7fPeTR+RnyalLjBYgGn6JEtzdZs2eS+XeaQlabznyjci3PaoInLNo32Q2KPtjY0MavYWz7Yd9lAjXpaWRTC6PtjTpMz41r5luyjxQLQPsdnVtZcS9j7wXGqkEfwf+cayiY+6FnLr07mhio98RYp8RtTRg9EuUmdbeTB32/OViDPU3TZLqdjjFXmxtHPGZFnhNvL+6RNR0uN99dP3eWqlnUVeFhzarTpP9so1pgixIJIR+aAl03JCVCP29y4dU+i6IBpjHsYwKzNru3ghCvxMJyazWCezTh7MgvnphEvBypkFMwsWBKwIOGlZtCzESeyV2SKzRUEtFbVMWhYty0Vmi8IWhS0qGquuMboWXcu60uFKYK/CXoW9KuqtKGHStehanQXRT2GvAhuVvSpKaK5nxizGrMGCEcIVxaYFfiqbVg6loZ/JmMWYNVnQxqTTdQhjFcYqmzb00/0sGLMWC2YWPCFQVIGxCmONTRun0/10YOw4IAoLXhCuVRhrMNbYtKOo7qcDY0dlnRuCMZcrE8YajDU27RxT92OCsQPTOh8IxlxorMFY43Q6m3YUNVwJMMZT+nRyghdjblcmjDWOsrNp5ygHx3TA2ImNnRwlD9jT7VqFsc4JDjYdfjrwc3FwF103+rnRYYOfzgkO9hp+OrBxcXAXXTcHd7sOOa/BeQ3O4mL3i5ab07ldUZjN4HSGmwR7XbTcnMXt54Xmhx83xI16bz8Cjnv4UULc6PBx9XIoPAZOj3tI9Hyj5wfZE1JMZH/gOTAroI0Ihwk94/ATnja5Q0uInFF4QfbpoQRJl3t1Du5AmY9fBxg7UMKDjXVYPV1AlBBQQvdT5kwfBge3BAS8UOYDPwF+grt3lHAz5mGLwBaBw31cHDQWXM+IE/1MnUOmBxgLMBb9lJkemB7RRkTA5IfL9MD0iBQRKZJLyvTI9IiACQ6Tc8j0yPSIpMCelFxSpkemJzctOMzOIdMj0wFLCbCUsrPKdOBTAj6l7AIyC/iUgE8pu4AMBjWl7OIwBmiUgEYJ2JOAPQkAkwAwCSiSDEK84DQ9PyApCLjXm9fT8hPU/hKlNQj78KblicdKH1rJz1TyF5V8L58uyqf+Nnnx8C2T/C+S/8zDt8XDt0Q5YFIOyJRhF2XYTNVoUTVKFMwXBfNMTXJRk/TK0qKylKgsTdLvzGf6xWf6TEK+SMgzRSep7bREbSfZZ6rK52Ot2yzqNou6jX/p7kp8nqcvvqJA/GrYi8eLkaLKoKhCEU5fIvCSmL9S9VgGx+IqH6g8o+nIE8PBE8PM15jB15hMwXtQ8M7UZPy13+CzTOZt3+BtX6YWPqiFZz7UDD7UZD7ULD7UROrlg3p5prYzqO1kntkNntllntktntlFyj6Rj5qDj5qZUvqglJ75zjP4zuMfNQffeTLl9kG5PVMaGpSGIu/SBu/S/KPmoFjkrw46rw4SX5CozWvB+Pw+Nph8U/Ja06Rsr1+WDyvSpETh3ZauPK3RT+P2ZdrSyUSxJVFsif8HFH23xg==###5892:XlxV32DM    3fff    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###5816:XlxV32DM    3fff    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###4500:XlxV32DM    3fff    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###4268:XlxV32DM    3fff    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###4916:XlxV32DM    3fff    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###4632:XlxV32DM    3fff    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###4976:XlxV32DM    3fff    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###4392:XlxV32DM    3fff    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###4444:XlxV32DM    3fff    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###4836:XlxV32DM    3fff    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###4672:XlxV32DM    3fff    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###4736:XlxV32DM    3fff    1268eNqNW0u25KgO3BKYf/U63gJsYw971qM6vfdHWgobInHdvpM8cUNgAUISv/M8TfbVtL+QYzzPBy9lTx985rB9cDJ77vFaqv/ANe/uKm9q6DH4QHy4+a18sMv7Kfy29rjYtHzwlp39YJeT6zH4SHy8+aD6p/DBNYfcY/Ar8cDQvxp39PoDg8/EZ+K9Md7YhwcG3xrreh54Kesu7dmXSz8zYvDWjDzwUg7tv8N8fpM5XI/R/tg0kf6T9gODt8QDL+WsV33mzNIvIwYfiQf2NlzjvH2a3tp75BGDj8THmzdXPzd7uNqbszl7DD6oPRx5xOCrWZaeBwZfsowneGDwe3bnTD4lk/8kj/FfPszEntB+8MA+j9gaV2fyZQvXOMU81sfyib5XqH4uD/m3+oGrET/iSojCe3+104TUl9+bXc3kuf6f5FH/qfXv1kWxp3J8+hU4mrL3eDVl7THklyR2sptcZ/Lbdl7+snnFbWbvPp/X/DF1uepheZfPy/+tZZH++0Ge59ueD/l/kHnN891Xqc+Zeszkuf6f5FG/yYcV+bz18kspVvyD4JKln1Z75Jn8ljfxl0V4ll9zvdrhKvzWKM/6sfybfvHG4i93I+WAU75+zhjW2LeH5Vmfn+RvjPpNuuwpVym327iLf1qL+EuJs6dbw0x+y8s131rYjP9FHvWvWr8p8IvH2s+XYI5Lzhl3xWnjzisefstDX/FzwNmMuPH7VD7H6/cTmP4oT/Xt+av+PJMPZRW/INP3BLZZxqeZmxF/KZjl4U8OjcfA7XtX/N7V/+w1qf7h6p8lZ9/7q8UkL35klGd/xvL//vt3rmH/ZX4ty++/gy2/QvR//RNs/rX89b9g06+wuN+uRTu3PrlKWvpYchjJZXzLua65EOLW53Y2x+ubNpggvtNtfaw6stjAG26xxPc4U6zMFPucxh7w7o5FiI1jLAYGf6rNgwe+Y72hWG/GWA99kSsAoz+SianPdYHB78TvxBfKlYHBH8QflEu3WLPIOEguCAzemux6Hhh81PYilwQGvxEPDP1WtRfoB3yvBYgHRv0YT9QP3OU2lXLlYa3RErvc1w/86DfyK/HwKff4KGZ7Bw8M/Yq2B/1bqH0H8Qf1j9U5ftdvxrVUJD4yn/0x8IrBb8RvxOe8DOMDDL4SD3zPPzPm0sB3bmtEHjzwm//A/E/mPGS8run2Jf9W/ranIj49msXP/AWXZ/m3+uE/3nj4jzce/fOmH/rnzf/CvxzbLkHHxDiTbznMlbucxbuZPPcvy799H/7L111zN41B5M+4PMu/lYd/2+t+6Hy3M3/F+rL8W3n4L8T007pt5o9apibzNau9kTz330/y7M/QPy0F2Gf+DPovxqaZ/Ft73+TZH76Or/q/t/GBf3rj40+8+p83Hv7Jqn7FLnbmr7j9LP9WHv6L5wPqKzmTvYzxFPH1v8pvL/EY+q0lTeMp18/yb+U5vnJ+h/iEHHZ1yc7k+fssL7lmbblmlFTT1yHTtLZlmtYlt3ae7yRPP2RyhxkzwcOMmSDku0xxKL/kxfU88L3rQ5neRpke64fvw1PvpA/kV51xS/VlJm/Uog/r80x+25LorZGA5U8nfFKP9yVfZYQOs14WwfIxZ1kttPWC7BaM8m08ZcZTJEL/cXve5AtF/jtzfOk/yIslHY8lBTtY0nkZUmiGVI1u44wVrRhI5hUnKMoYKT4M5aX+dBteWvuOzBUDIR3J2J5Rt39kIBjnFGVpYZbLIBivsio0UZeJjLePKh890xy/9Qe3760/MVFWXhLRRLM00RJN1EQTtdJEBxZDOJshODGEPLoUv/x23q0o5nVXFLume/bbDLcQIRmTjecMY1fNW82cCIeWw0nkic8qO5qm5qb2GgY1l9gM1rVV9or9pr1qzmDrLjFeOpRxNIJrsO5P2GuuwXjX/ay1zjF8fMtdNNcQg1qs5gi6f7nofjdj7Pdk3S/G/iJyJuzXA7N8C325xzefq+4TKq8YBuNVT6whgL/bI2ss4Dun04mDNRgw9F8UI6cDxv5MMdAvuB7f+7vZBt13Tj3e1dG0lngZP9ErBrGHnDRiGLvO5BevEaPK+H/JV9m39ma9HArL4/zjyLp/TfIttMg4qJ3weETt56PuZibf+E3OfbapPH+P5eGwzOYHh4zx5f5geezfHoFyeIwfzT+W5/FgeebZXtCe0+56PjDay6R/SF762yHnJ3vi+cnybA9f8xnnC7qm5vn61j7Ii6ezXWSOdR6ai/YcVju+imfKd2ie43u1Qthude9PGhgXHckWSMMMP6tFaSFa5slTedpNdORpHHmaSJ4msqcx5GkU43vWjCOB79siuwUtxLuZPlye5W9PH7wbV7NSnseH5bl+lhdLWLqY58aYl90nNhu3Yo7a5CnJ8vZP2D1zSvZ7dP3xnMHJGcgbzmb0WU/5YEf5OUZ51v8ZKbUE7Zmtak9rT77i+0xf9wmo/u/6RuyzWH5Un8TYBc0BdOYwPra69TOHMSyhhYo6w7fl61nj4qPrY0wtUe5G5F3Wj4SDnjFVi/3ZEfN4Icl1enbidb2+JfTHiDH+WI294az2hiQ+aRK/V1nvIkYx5vYyRtIOH8yY28OY7eHN/paMnGq0V+QkmXKSh0fORTmLoZxFMds7ciLOuTx5OuZhL7enVMzz/fa0d841jteTE445J/oDngsYZ46nsXGIqWiv6hn1zJzlYZ+IoSzP48vy4ild85RB90XS4Cm3K2TazzJm0YMF+XC0i15WsX6G0ZBTGwJDyecYvG3GAckcV1028fdbzicbP8YeM2w3kXO6GGDM+rzp9xzgjPrA0IC5vTDUkww1U0gHloHwz0CEMgzEcQ1EW0+iokSx+VQPcvNkcSmPq5yTVgHAXB8a+lU/fR/1L0bWo8c2diTkj3AU2dnT8iR/R4Lk00z+dNoObIuQvKmStX4kZX9plI85LDLgxyr7S6P8ofs898419VdKevsni8GwPNfH8jLQoRvoNB9ot0lF2Di7kyPdImZ8iGc1SEoZ3+l4HtN3xkZnGK7LbDTQh8GMlOXarsu1XOWY/9lfGnFyuuGh+0mMv9pLGAMYks8zzPq+6Z/Jwxxk6AcZeqaJlGkiob8wkYBloONwveEYrzeE63pDW5BUvUKTz89wfdos6UX7ol7RGPGzPS5XWRovS956JDFuqc+eeoOG8HN1dV7+3t7/gUf5rFeFathNf3Wzpa3xT7xVHvXH2lpv++ObM82+z/Jv5dt/JUyeuLqEq53y/TWvmvaIfkstZSb/Vj5o+bf+OcxR/sQX5duK0enxxdFfHU561Yb1Y/m38vdVHR3//dyH8d9wdfmU461kdjeTfysftfyu+q21hv77xejVZ6qf5d/Key3/1n9VsrNXXvdeW3ov9ZeyDVezs9nkeDmJfmfr6Zn8W3mn5Xn+PvNLrlJlbf+iV3tZ/q28Qfn3+bXM+pfl+fvPdRF7YSyjbBD74v5gzN9j/DZfgVmfN/32bOUqOdk/+n/XO27wzadmU6c55fhaXTLjDVtnpbgZ5v5g/KXPi35J9WN/e95PBY595m/v6z/EB+Kf8mIPzY5qf1UemOfDfX1GMdvr85RhvMpviDfEIx4914tG/RAPUluQ9pjjAXh782M8qGbE7O/BA7O/R/3A7M/Bh5sf/TnqB2Z/Dh6Y/fmpT0Fu/07+Gjww9Psca/fjC/zlz3H9jZ6qROIjPVW5/bV+H5j9MXhg9sf39avbvkZ/i/LA7E/vpzKK2d/dT0XM+FTEEG9ufvR3z/U4O/U3z/U69T/kb6Dffs/vcb6He3yL5gerxrd9n+nL+QXLRyN8qXWdzXdc1T7Ksczkv/LDH+TZ3iSXTS2X9Vi07HRT9/cnj23jqddP/Sbba5v6V4/xHngT1qrbKXGGcWV5z+6Y4dbzqcdv3wOWduTnzDac4xFBS9U/141Rb1s7DFerK+lRSQ9g+U7pF3nb8J3UvpPaYt7oHe3srehf9DDYyTbRC/9Z+834lqDodpXbZ/jW26C/xu+1NWrp8dv3gLm+ux9M3w/rYzfLNvZDbP0Qn/4u2t+L0XG2YgeM2/wQv3MGO8VqRzZLfYybX7/s3gTvZ3hVfbz26xt/qr7Szu3TzhVrvXVoaA3+Y1nWObduYdcHIuNlLDzcQzaU9UoA8JFjmOEn+kh5l+WGPx5urEWa7XXL440/jWy1tFZLK6uMvrUe3k6jTdYHKXL5DjhmvZyml+pCm139JvBhzrW/zBdqosuU48PFYtatx0G942qC6KvG73PQBzvbMsNv9TE2Wv9zsDveDPFm3NRezSGbuLqpDQz+JB4Y/VlM0Qd74g2BEU2qGaMJ8POQbXw4CMztwcNGQw8fA/GBVreWHmYCs72E5n56zPYGHvi+vJfHxwDA98PBPD4GAMZDJ6OX/Fq/DBgPZY77wWysPQbf0te954HBe4MHuMIDPw9lNFrnEXsre9X23v1Y1h6Dj8QDP/a1rv2hCfBjXyMPDP0W3ZmDfsC7zZoFnLm/cgcMr7/ocTGiAjD4RPxzkUXG35lDt46z7zH7A+gPDN6Zc+t5YIy/11Ubxh8YfCEe+Kl/P/stYXdnu6K/VX8G+wVmfwc+3jyy2TGbB36y4ZEHhn/YsmYhecTgTV5zzwM/D6vH1Uyk1c5GPPD98J0eg6x0WRfxCjzwfTna0GMOxd7KoWbW+YhDznzPTz00zzIfcKgGfD/k09UveODnYsrxf9ACF84=###4664:XlxV32DM    3fff    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###4632:XlxV32DM    3fff    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###4956:XlxV32DM    3fff    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###4764:XlxV32DM    3fff    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###4584:XlxV32DM    3fff    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###4584:XlxV32DM    3fff    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###4540:XlxV32DM    3fff    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###4300:XlxV32DM    3fff    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###4300:XlxV32DM    3fff    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###4468:XlxV32DM    3fff    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###4308:XlxV32DM    3fff    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###4756:XlxV32DM    3fff    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###5012:XlxV32DM    3fff    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###4908:XlxV32DM    3fff    1314eNqFm0uy5SgOhrcE5iWy1tELMGAPa9ajitp7cyz9xzJX152RERlfhm0E6IXESVSym3+a7+P8/KHSLE6h+w92R4fF3Q13PR92Z3FyI32wUr2+9++/f1dH/o/7E/75O/n6h9pf/02e/mx//Sf58ids/4QQ9lNeKyJmcSNeX/WlWxzdOD6cQ6kWQwxHJd5ibLcYJT3E2KYYW9jx2unC+LwWqIcPNh+DxcP18uHkwnEPE+5hcrJmW1wnHiZewxyu52sPaswWN1nkVnjYlfG9uQ7+FiPeYvgQLDkSxfZ5L1I8r+lQrB/eawoWV0rX9H1Jh8WD0iVHdkltflJybOUhR5xyxFuOTeRo8t2zRDLZpWu3nU/J4ur4+WNc+IMx3pxfv+XMSk5fTTnnorIxHDtvO13GU8pBFkeq17/kz2jxRvx8Hqez+JTxEh398y/LWW45a30zpp2ItTaxjVeibDGMpwabYRWHK+e9XKRs+rmraYqRbjFIxIANZ0/V4iDD9jmwxd0fVablLQ4kYleZ5sKYRpDneRpV+QRzNe/XwqbFjjVUi+EjyIdsMXzG5oISY1c+41UML2JgE8mFbDE2sTSb8b1Om9rUpn1GseSAjcNnJEpF+4iVPWWvfcTK+N70E/stR9c+I1m2iPfgM4J8Fz7iB7vctY9Y2Tt+Hj5iZYz39BlD+wzTtw1XWQ46Lp/TElX2kWzjKx9TF659GS5wLHhyd/ViaudgX/RkjDfozLfPOJTPKG8+I0kAHqGz8Tg2lpWhTj2wca78Vde5RvdyncpnvEZGaNcpkRDatHKjdg27neWwGMb2cF3VKZsvb2J8A1K69m5+hpVhZWRBo9n8tXmKt5JXlRXlVzGy27Keva9btxh5AZWQLcb3ivgQFmPTNp/s+JyzzlfqSENPf+VOHI9DypvFiMdx5GYxbG3acLnlDNrWTjufYTmHyDkox2c+8+Spo6wttCWLG+X0I72rOq9yp5VGwgYPiUCert0/azmCxYjzNK39a7NV5U3ltALsvZ3loR5IkleGOtSTssmJbZwkaV55k0hWEifZK0OeJvLwNFRalc7XyCarnCWgJgmoKyMvgFavfJ8ltJYXHVGCtZzQut1FpyNZkSx8ZUSyo0jEWFnOEkPmtTK0vg3O4lf+Rkad1VfSVhAsaz2/WWq/Bsy17DyueJOVXbmsd0tjtzhIVhtEW1fGeBv/t2ivyqsovGkvjDUOPmk2WZ6Vx1dbcfZaWCLUDNDNYuRh3nPisTJ89DxM0L3cKi8r/s1He+erDg2b891ihAbfbIYYmXy6xdB52WbKEab6c+QMkpeV7am9T4YPOkMMFuN7J4U736k6L/PetuYrnZlOYFzbV1zlxKAOsjjImWeaR7YYvjRSV9ql8i563ZaZ5pH2hZTyYfFMHC5jiZ5D0MrJNU6zZgi5l0OlVbm9KXkQ7cCwR/WHxXDJqWzVZHHJ0fliMVzyNlibVv6GDJGHp3HqiPbLyZd3dR52ht6l4MUnLBw9NbYK1oKVkbVOZ5csxq7vMv9Lzl3lbfk1IkZJmFBIOWV5V95ll7E8K0dqHOH8NiwOjpcX27My5NlFHp6G18s9zEOW2zu7Yin0pD1yKGCjWHknXr65OyZPo9s4kRnhXk6V+KXsrEQmz7P7paZUL3n69BKXWjmb8TyYx1GJ22aebfFal2EK8WfzqNnixo/PeFmcxfieE5/HYqi8baNqqU+mPNjYSxRjv9SAfGkWR0l0julGTB6cOA345oW7OKeeClnsSJyTyMPzSHo5g71tPI8i77WROSWZQdVijJNc2u9xsl6v13GSWD8Oj34eAi3G802e53GKnk+y9UPmAy9Ti8QoIouHVJyOwPuw8kHlOoZ91OSWg/R8/Zt+DNFT6MN0XtFi6EMp+2Gy6EMWc1kZ+hDH7i3GvlWJqTyPqtfTv63n+bU3lvu2tydDbn/WYDHkmIf9O0bvKnXaSrOiCuRwtO9y/kust1ifJ0+5MtsH51QrQ99qa81ijHdQU/bU9L6XNz3fRE7YT5+ewGKsR6aq9KvrfanWONBL2G0YrNew05VJmjY78QLwOEOPs1v7j/dmqsv7zxnvDLApWxw9yzXEP6yM742H/zi0HOVdDyU3hd75fFgMvaMzN4ux7vOcT7ccp97fYemh1MHnh3i/WuX9hD9ZuQ/Wu68fXzhK/wN+fGWM9/DrzWk5zWwS+oH41CpJbTIPiw/xF2Xw+vxk3uhRS7e4Ez/fTolPC2O9Z5y9a43N63mkN7v337iYpd4i67Uw7HxObLcYdg7/vjLGe/j7tmn/9KoXm+hFH6mw3DVYPMP+tT457cniIesX2u4tPqa4LGe97ajp9CmZct7+xu/sHXj9cOZcGetX0jYsxvrFxmnsyl+7dVv4prVN51fFjJ+Qk0RO2Hev3lsM+57562Hx4dgfRn8Ven4w4iXS+ZW/cYv8feRvOr8q5U1/M/Wo9RUVlZWxvqiorIz1xelnZYz3OA01nZ+V8y1ukciJODX1dViM5+P8+D2Ozs+WCt5iJ8UF7lGIXaAXtTLsYqRYLIafQSVtZYz36MU0nb/ZPTS8V0VOJ/aG1t3KsE/0zFbGej16aE3nX/k170FPKMg+zKjlLJ6GKeu3FV7oJ0Mu2OfKGK/SdldE2yM/q2/646X/DH3ZF30Cf/VH12qazq+iefvjoBjZPnfZB+bTN2fxmB7zWoZz7Bbje/OLal90/pXqmxyHyHGSlBb9HizuxKXLzwHfYqKY2E9Wpac6P3OmO3d942n0ch6f0mG/3KH/ZOsGz3SWZLJcTFr4TAcvX9yqxbuMV6dDurdNp2/FvD5yHxuPod0eWpkrw63NZNXkr5sjbuyzHDp9s9tkkKOIHDiGzdkFi+PJNbzkJFwufLt3VYLtOj2LyXaDm6hjZ7dX2S146s3i3DZp8Y5h8ZAGhueK7g/OFLgs4o67mNd1+pXMixmQ8xQ5HUmY9yzXyl3GbSf3wn/wYG863UCwODpup9XUyWLIQ67ftdWu07Ptl3mweX0vmCTurs404LAY5plP7letnB13CmYavVscidN49MtWnuFDGjl0u9mu07fwOg/c14G7w8WYlSH392LMykPkxkWZhaM0uD79UoshzyHy8Dw+6V2UeezbYx5lzqOEffssmf/Mf+TLT/nz5LST/diocyB/3RWIH6bL5G4etck1s5n2XH6O2+fgNrN9ns/w5vP/Z7zDs9+rjt9fv7fKvz7P66DTw2i5I3xm6gxfs3InZ71jcxaXj4bO53Pw3WIs06fs+HWLXWd/Mby5oykGRzUx2/65S2KxXHocdVSLu5Pnk5j9whivzeW61eaRPfo3d7SJnPMUckr0PizOFJ2W+weL2ULulaHukHtlR4F+zkNnl37Y1YzExw5XZD0S30uT9vvKbbB7apLFrozvzVO7CkM6uwzezi75vU3kgJuCm1sZp5E2aLc4SfXivkf35FtOdcem6+wy/FL1D9I8YLcfxyanXwkTCyfZZwo243tD0iOW45F9Vnu9+L0ocmwSnkbiQ+LKRdKCMUa1OMrtkeM8Nouh74FvVIucOjvdTvv0wm45kQgiYWHU7CxGWIglN4vxvSqnYpZDZ6f+fAtXReSY4b5z9Zcb6T9Y/IQfv7CkC1OybnGUfcbpb2XI8zgNdpW+zrBgp41e/AX3Gmd05FavVL1XTrKPd3fjyYekTY/uRtfpqzvtqgjLQSJHkXG7J7L41id0nZ58OD7ltVSLxR294iRVrIUhz6OaP3T6u/1SnWT9C5L+zOjMcU5+OrAy9HEePqvF2aXKWRU1i7tcHMH1o5WhF4/rSEOnx8E8nWMeSeYBfcZ16ZVP4jh5jFoshr67tHuLEW8ep8Sh01/XbP3ldDO7nasIlason46ryQOM7sKT76qKqp4Pnb76+iYHiRzfOFebtzi5yKfrchSLv9+j8z4GDn3r7vhF/6QJT42vstDGcUTGXRl6XwZ3l1aeSRznOZ67SyvD7vbSmsX3Maup9dS/hjh/6QKh6srzCIPtdy7MYTHG6bL+PI7OD116q3agm4nqBrp+K8M+0fVbGfb29Bs6//PlTQ50I7P4iUx7tXjIuOjqrfyt4ugu39D35o7ypj9eLnHgGFxrbxbjGPxpp5gsceyU762MY/AY30srD77z4n5XY0fV+vPLej4vo0DvS+W70StDbujtypCjij6yHLuWY7zld+2rp/LLg298fTLyuTu+Pvkud9B9uWTo/M6Nt/xuiBzIK9G1WbnIKRxdm5WT7FP0u7cY6//o4gx9c26Mt3NQpCHdvQ3H2WYx1qc31peVEYfQZVgZcSiMI1iMuPS41zn0Lx7O1/NcJtzbZX8VpHu+8oDf9ex3V0Z+fXf9nwy/O0oni7/+UarUPA+dHx7nm1+qcpMR/nAX+18Z6wf7XRnr+ZRD370br3LgRiXyfNygXBl+GfdzV4affuzr4bQc9e0yWJS4HJ3crhj8y5OVcSvgEccPffltPP1XnuPkqT+uyl3Jk/MDxmn3fFBf2TfO0wb5bPHMq/h8GXy0+HOxkOsxzuRI/PxUVie/4nsw5J0R546/h87f8vnWjepSf8Atg+Gk/rAwyuXfX3At/L2lon9Yduj8LY83OTzFTbpxXNaXLtjK6Mbhvv3K3zxSumwsh+4Op/bWRZx25bn+wF2uJna2csHFbvlVycpJ6hzz4HNYjLrF517/Lacu7+Xwtl6404uuIe7wroxu3PeG98LfLqLz9znl0PlbCva5MZAu43/jqZTtV8a5GWX7HzzC8SjbLwx/hrL9ypDnUcY/dP4XTXvHe2ibzHAvbaA2LM6It6M1k6WNMuUIFuM87OpRLEb+g3rNypD3mEHknqeuD5b0amf4pYDYd3R822Hl7y2W5g6Lv13d6y/keHSfv3L8D8Sm6eY=###4764:XlxV32DM    3fff    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###4356:XlxV32DM    3fff    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###1840:XlxV32DM    14ac     718eNrVVzmy3DgMvcwcANxBdeIapw4mmQNQXMJf5WCirn/3AQjht9QlyandAUX0E3YQhAAAYwf60VL4OZqddIW28jOiS/y02Co/U3N1j3f0ftJYjfDHSSPKeyo/o438XCFafoZQ46BfxNiEvzWmV/BTn2noRX+Y7zvM5U6+BxvO5CtuUOgr/orO3fGvWFax7xx3kJvI95nphnYF87K/gzFMX+EOjWM6tZD2eMcw9rjyv/Ij/CvCgf+VH+HvMJLkL9m9/x1TEX0x7PP70i/5fbf/XT9B4QwHDDOuhS080Y+Y5nsNfJX8pnHMD055CZwV/Bg/lZ/BeKav6qeg6L3ST+bPOOSQ+0E/lCQ0TL0ecz3kf8ML9Lyvj5d+qW/S7O/iH2DLG5a8l4+wTr6BvTD9rr9s+i1I+a1gj/ahk7gjVcCsz2N8I+KG+/VOv8WBTFfEfsRzPvp/1K/9I0Grd/YjjMnvIMEe1/io/1fyO9m57x8v/6U+fhUf7Q90iMZRv80Sp+qYzlinHYoPaGGGFUe5w1V/xpSPOHqJS7uVr/a984eNP2ODO5xQ8SfUmQfNf9jkO07BjMPa9vwNapI4q//n8j1MsaO2WPbyGyYn/Eb6Qpuvf+EOA4ocqf+M2R/9n+o4DuYufoq/63eb/gp23Okv9JQ69NN/Pb9tw+mYWLHv3H+t7yv5A7Z78w1/yY+n+pVf7z++6fZ4oHM7acjmzj8Lvtzh2v/f5a/opa4hr3f6Ox2kO/u1/17yb30hBsx7+xJkK3023Pq/Iso9F1az5x+wbudS5o+MPRzrZ4D0Mek/Oh9USH0/n1hM4wx/3f/o7vCGOO3S/q/4q78ls+9/ptm0xzMGOYdhhEP90I24r+8Y2vH8QbdHfD3W33a+qc+5MzzRjSB937k7fq1v7R9f52fDKT5G+M/tN+jMnfwr+9W+Am7L/3l/0/pWXOcTxalOwp3+DNbe1Zee3yt+BKmLK/0Ire35db5R/zqaOV9dxVfniyv/f6V/hV72+hVX+TpfxlD8Hk9QmpzvZqRO5f76Op+b/AgyN1zJ1/lU46u4xpeiG+/0kyR3Jl/j53DkM/lhkx+wyz3/Jr9BkYsNaz/DVX/D1m/5v+rrHM8oc5bB4fb9KcHo+/nhHdfzo/PX+/lSfv2+u+J/zVfGHOcjI99nUMXubT7U/qTzofYnne8U1/nOYyhnuM7PA+SeuOIvIIm94lf9Or9/9d8Nrxhv7a8g9wjlc94TPa+ZI9c32qPQVzgi1VGfeXZ7PG7ylf8KV/60fUd3o/KF9iD05+cHn7QFFvf8CCYvoYfHf8HgYh//BpOWYJ8uuKJx63y/EV8zZfue6fmMpnMw89zX1s/oHFb53gMaIE7otAoduD+d0OpHQPWDft++fX/mtPYlOh/t8I7++fsZn8G0hV00YcElm5CWHLrlJfIyyZWXSssAXgwvgRd+ZRRe2pKjoVeiNbxYXpCXzMugxRFvxPT4JJVjquysMbROapNnIfwaeF4cL8wKLAQ6L1MFA4alG37PIIurhoTZxZM4YiQBEB5/heoWOwLjxRLul7SYsoS6FLf0shhnFpOIw/K7dnGu84YEDXz8oAOwxOcPR8Fxz5/O1MdHWClQ9KiFHp9XkLmG7DXkriF/DZFzn+yeU/fWuFiKgA3kRW6LJTedS2culj/MRT9djItL6+LqWDwVl0ez+FbO3MviXvhd3Jvn75/nz5zIPFMevLFUi3PjqSLnJlJdzg1ydc5d4Rqdu8bpnbvBSeZdM5zquXOc8LkLnPa5S5z8uSsct7mrHL256xxD3nXgSM6d5Xg+yNT/Abcmu2I=
\ No newline at end of file



More information about the CGSG mailing list